Quartus II V9.0 project
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

Quartus II V9.0 project



  1. #1
    saidbelhaj

    Quartus II V9.0 project


    ------

    Je veux un projet à l'aide de Quartus II V9.0 (code VHDL+ conception) qui consiste à entrer un numéro via un clavier connecté au port PS/2 de la Altera Cyclone III
    (EP3C25F324C8), et ce nombre va afficher en binaire par l’intermédiaire de quatre LEDs.
    si on saisie 1 correspond à 0001 en binaire ainsi led 1 est allumé et les autres sont éteintes
    si on saisie 2 correspond à 0010 en binaire ainsi led 2 est allumé et les autres sont éteintes
    si on saisie 3 correspond à 0011 en binaire ainsi led 1 et 2 sont allumés et les autres sont éteintes
    cordialement

    -----

  2. #2
    ftorama

    Re : Quartus II V9.0 project

    Et bien, il ne reste qu'une chose à faire, te mettre au travail. Attendre que ça tombe tout cuit ne t'apprendra rien.
    Quand un homme a faim, mieux vaut lui aprendre à pecher que de lui donner un poisson.

  3. #3
    JPL
    Responsable des forums

    Re : Quartus II V9.0 project

    Si c'est un exercice demandé par un enseignant lis d'abord http://forums.futura-sciences.com/el...-rappeler.html.
    Et même si ce n'en est pas un ça ne peut pas faire de mal.
    Rien ne sert de penser, il faut réfléchir avant - Pierre Dac

  4. #4
    saidbelhaj

    Re : Quartus II V9.0 project

    j'ai pas compris comment je peux commencer

  5. A voir en vidéo sur Futura
  6. #5
    f6bes

    Re : Quartus II V9.0 project

    Bonjour à toi,
    La ( les ) réponses sont relativement assez claires:....... en T'IMPLIQUANT ..toi meme!
    Si c'est un devoir d'étude à rendre, cela n'est pas la régle sur FUTURA.
    Il FAUT d'abord indiquer ce que l'on a fait SOI meme.
    Dans ce cas tu trouveras ( éventuellment) des...correcteurs bénévoles.

    A+

Discussions similaires

  1. quartus en schematique
    Par invite7b56c3ee dans le forum Électronique
    Réponses: 6
    Dernier message: 12/06/2012, 22h19
  2. Quartus
    Par invite67ee8e47 dans le forum Électronique
    Réponses: 3
    Dernier message: 27/07/2011, 21h25
  3. fpga Quartus
    Par caroline1012 dans le forum Électronique
    Réponses: 13
    Dernier message: 19/12/2010, 09h05
  4. Quartus .sdc
    Par indri dans le forum Électronique
    Réponses: 9
    Dernier message: 26/11/2010, 07h15
  5. Quartus, une astuce ? :)
    Par invite110d3f8c dans le forum Électronique
    Réponses: 2
    Dernier message: 25/08/2010, 12h55
Découvrez nos comparatifs produits sur l'informatique et les technologies.