User Clock sur carte Dililent (Spartan2E de Xilinx)
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

User Clock sur carte Dililent (Spartan2E de Xilinx)



  1. #1
    invite0a2f0579

    User Clock sur carte Dililent (Spartan2E de Xilinx)


    ------

    Bonjour à tous,
    Comment utiliser sa propre horloge quand on programme un FPGA sur carte Digilent ?
    En fait, je programme un Spartan2E sur carte Digilent. Cette carte dispose d'un quartz à 50MHz qui cadence le système.
    Moi je souhaite configurer une pin de la carte afin de mettre mon propre quart là dessus. Mais, cela ne marche pas.
    Merci de votre aide !

    -----

  2. #2
    monnoliv

    Re : User Clock sur carte Dililent (Spartan2E de Xilinx)

    Tu n'as pas trouvé ici (chapitre 8) ?
    Ne soldez pas grand mère, elle brosse encore.

  3. #3
    invite0a2f0579

    Re : User Clock sur carte Dililent (Spartan2E de Xilinx)

    Citation Envoyé par monnoliv
    Tu n'as pas trouvé ici (chapitre 8) ?
    Salut !
    Je travaille en fait sur le spartan2E. Or le document traite du spartan3. Malgré cela, j'ai regardé, et aucune information pouvant m'aider.
    Sous ISE, dans "Process for source", plus précisement dans "Generate programming file", en faisant clic droit "propriétés", "Start Up options", on voit qu'on peut choisir l'option "User Clock", dans le 1er menu déroulant. Mais, à l'implémentation, j'ai toujours des erreurs...

  4. #4
    monnoliv

    Re : User Clock sur carte Dililent (Spartan2E de Xilinx)

    Sorry, je ne peux pas t'aider, je n'utilise pas cette carte...
    Ne soldez pas grand mère, elle brosse encore.

  5. A voir en vidéo sur Futura
  6. #5
    invite0a2f0579

    Re : User Clock sur carte Dililent (Spartan2E de Xilinx)

    Citation Envoyé par monnoliv
    Sorry, je ne peux pas t'aider, je n'utilise pas cette carte...
    Merci quand même

Discussions similaires

  1. DDL système user 32
    Par coupeuge dans le forum Internet - Réseau - Sécurité générale
    Réponses: 2
    Dernier message: 06/04/2007, 11h09
  2. winlogon.exe user = virus???
    Par invited6317f80 dans le forum Internet - Réseau - Sécurité générale
    Réponses: 10
    Dernier message: 08/11/2006, 21h58
  3. Réponses: 1
    Dernier message: 07/11/2006, 16h53
  4. Choix d'une carte FPGA-Xilinx.
    Par invite232dbe64 dans le forum Électronique
    Réponses: 0
    Dernier message: 07/11/2006, 16h51
  5. Probleme de clock sur FPGA spartan3e xilinx
    Par invitec117284e dans le forum Matériel - Hardware
    Réponses: 2
    Dernier message: 27/03/2006, 10h45
Découvrez nos comparatifs produits sur l'informatique et les technologies.