Probleme de clock sur FPGA spartan3e xilinx
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

Probleme de clock sur FPGA spartan3e xilinx



  1. #1
    invitec117284e

    Probleme de clock sur FPGA spartan3e xilinx


    ------

    Bonjour,
    je suis débutant en developpement sur fpga.
    J'ai investit dans une carte de developpement XILINX contenant une FPGA XC3S200.

    Voila j'ai déjà implémenté quelque design pour controler les divers composant de la carte diode, switch... j'ai aucun problème tant que je fais du combinatoire, mais dès que je veux utiliser une clock, avec une petite flip flop y'a plus personne.
    Par exemple un truc tout con comme : (completement debile d'accord)

    entity top is
    Port ( clk : in std_logic;
    reset : in std_logic;
    switch1 : in std_logic;
    led1 : out std_logic);
    end top;

    architecture Behavioral of top is

    begin

    process(clk,reset)
    begin
    if reset='1' then
    led1<='0';
    elsif clk='1' and clk'event then
    led1<=switch1;
    end if;
    end process;


    end Behavioral;

    ben ça me fait quelque chose de nickel en simulation (meme post placement routage), et quand je place le bitstream généré sur le FPGA c'est le black out total...


    et j'ai aussi un fichier de contrainte (.ucf) comme ça :
    NET "clk" TNM_NET = "clk";
    TIMESPEC "TS_clk" = PERIOD "clk" 20 ns HIGH 50 %;
    #PACE: Start of Constraints generated by PACE

    #PACE: Start of PACE I/O Pin Assignments
    NET "led1" LOC = "k12" ;
    NET "reset" LOC = "l14" ;
    NET "switch1" LOC = "f12" ;

    #PACE: Start of PACE Area Constraints

    #PACE: Start of PACE Prohibit Constraints

    #PACE: End of Constraints generated by PACE

    Voila Voila, j'espère que quelqu'un m'aidera parce que là je coince et j'ai personne pour m'aider...
    Merci d'avance !
    PS:j'utilise l'environneme ISE pour faire tout ça.

    -----

  2. #2
    invitec117284e

    Re : Probleme de clock sur FPGA spartan3e xilinx

    Bon désolé j'ai trouvé ma réponse dans un autre forum de ce site j'avais pas assez cherché...

  3. #3
    invitec117284e

    Re : Probleme de clock sur FPGA spartan3e xilinx

    si y'en a que ça intérresse au fait

    http://www.digilentinc.com/Data/Prod...S3BOARD-rm.pdf

    apparement la clock se trouve sur la pin T9. (page 35)

Discussions similaires

  1. Problème configuration FPGA EP1C3T100C8N
    Par WhiteBird dans le forum Électronique
    Réponses: 0
    Dernier message: 06/10/2007, 09h06
  2. fpga xilinx virtex 2 pro
    Par invite426a23a9 dans le forum Électronique
    Réponses: 4
    Dernier message: 08/08/2007, 09h35
  3. Réponses: 1
    Dernier message: 07/11/2006, 16h53
  4. Choix d'une carte FPGA-Xilinx.
    Par invite232dbe64 dans le forum Électronique
    Réponses: 0
    Dernier message: 07/11/2006, 16h51
  5. User Clock sur carte Dililent (Spartan2E de Xilinx)
    Par invite0a2f0579 dans le forum Électronique
    Réponses: 4
    Dernier message: 08/02/2006, 16h22
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...