Je suis étudiante je dois programmer sur fpga avec le logiciel quartusII (stratixII).
Le but est en fait de simuler un programme(allumer afficheur 7 segment) sur la carte FPGA mais le souci c'est que je me retrouve bloqué sur la simulation
je sais comment il faut faire !!
ça fait 4h que j'essaye de résoudre mais rien du tout . Merci d'avance pour votre aider .erreur.PNG
Code:library ieee; use ieee.std_logic_1164.all ; use ieee.numeric_std.all; entity premi is port( start ,stop,reset,clk :in std_logic ; s:out std_logic_vector(3 downto 0)); end premi ; architecture archcarte of premi is signal Run :std_logic; signal compte : std_logic_vector(3 downto 0); begin process(clk,start,reset,stop) begin if reset ='1' then compte<="0000"; run <='0'; elsif (clk'event and clk='1')then if start='1'then Run<='1'; elsif(stop='1')then Run<='0'; if Run='1'then compte<=std_logic_vector(unsigned(compte) + 1); --compte+"001"; --compte <= std_logic_vector(unsigned(compte)+1); end if ; end if ; end if ; end process ; s<=compte ; end architecture ;
-----