probleme de simulation sous quartus II (stratix II)
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

probleme de simulation sous quartus II (stratix II)



  1. #1
    azi12

    Unhappy probleme de simulation sous quartus II (stratix II)


    ------

    Je suis étudiante je dois programmer sur fpga avec le logiciel quartusII (stratixII).

    Le but est en fait de simuler un programme(allumer afficheur 7 segment) sur la carte FPGA mais le souci c'est que je me retrouve bloqué sur la simulation

    je sais comment il faut faire !!

    ça fait 4h que j'essaye de résoudre mais rien du tout . Merci d'avance pour votre aider .erreur.PNG

    Code:
    library ieee;
    use ieee.std_logic_1164.all ;
    use ieee.numeric_std.all;
    
    entity premi is port(
                    start ,stop,reset,clk  :in std_logic ;
                                  
    
                 s:out std_logic_vector(3 downto 0));
    end premi ;
    
    architecture archcarte of premi is 
    signal Run :std_logic;
    signal compte : std_logic_vector(3 downto 0);  
    
    begin 
    
    process(clk,start,reset,stop)
       begin 
    
    if reset ='1' then 
    
    	compte<="0000";
    	run <='0';
    elsif (clk'event and clk='1')then 
    	if start='1'then 
    		Run<='1';
    	elsif(stop='1')then 
    		Run<='0';
    	if Run='1'then
    		compte<=std_logic_vector(unsigned(compte) + 1);  --compte+"001";
    		--compte <= std_logic_vector(unsigned(compte)+1);
    	end if ;
    	end if ;
    	end if ;
     end process ;
       s<=compte ;
       end architecture ;

    -----
    Images attachées Images attachées  
    Dernière modification par gienas ; 14/04/2014 à 09h18. Motif: Ajouté les balises code obligatoires pour les programmes

  2. #2
    Flo7374

    Re : probleme de simulation sous quartus II (stratix II)

    Salut,
    As-tu crée l'état de tes signaux d'entrées (start ,stop,reset,clk) ?

  3. #3
    azi12

    Re : probleme de simulation sous quartus II (stratix II)

    Merci .

    C'est bon J'ai réglé le problème ;

    J'ai d'autre question si tu pourra m'aider est ce que t'as déjà utilisé le routage d'un schéma sur eagle 6.0 ????

    Merci d'avance .

  4. #4
    Flo7374

    Re : probleme de simulation sous quartus II (stratix II)

    C'est bon J'ai réglé le problème ;
    Il serait intéressant pour les gents qui se baladent sur le forum que tu expliques comment tu as résolu ton pb

    routage d'un schéma sur eagle 6.0
    Je ne connais pas Eagles, tu devrais reposter un sujet pour ça devienne plus visible

  5. A voir en vidéo sur Futura
  6. #5
    azi12

    Re : probleme de simulation sous quartus II (stratix II)

    Bonjour ,

    Je me suis trompé sur le schéma ++j'ai cherché des vidéo sur youtube ,quelques documents .

    ça marche !

    vous connaissez comment écrire un programme sur VHDl d'une modulation quelconque !!?

    Merci d'avance

    Bonne journée

Discussions similaires

  1. erreur de simulation dans quartus 2
    Par inviteb68e7664 dans le forum Électronique
    Réponses: 8
    Dernier message: 07/07/2009, 22h28
  2. simulation sur quartus II
    Par invitef8dc72ae dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/05/2009, 10h47
  3. Quartus II simulation Vhdl
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 1
    Dernier message: 27/03/2008, 20h48
  4. Réponses: 2
    Dernier message: 07/01/2008, 17h43
  5. Programme sous QUARTUS
    Par invite85cbfcba dans le forum Électronique
    Réponses: 2
    Dernier message: 04/05/2007, 12h27
Découvrez nos comparatifs produits sur l'informatique et les technologies.