Problème programmer Quartus
Répondre à la discussion
Affichage des résultats 1 à 11 sur 11

Problème programmer Quartus



  1. #1
    invite62091fda

    Problème programmer Quartus


    ------

    Bonjour à tous.

    J'ai réalisé un code permettant de faire une liaison RS232 entre mon PC et ma Stratix II. J'aimerai maintenant l'implémenter sur la carte pour pouvoir le tester. Je débute dans tout ce qui est VHDL et utilisation de Quartus, bref dans l’électronique en général.

    Donc je compile mon code, il n'y a pas d'erreur, ensuite je lance le programmer, la carte est bien repérée grâce à l'USB Blaster. Mais il n'y a aucun fichier. Quand j'essaie de trouver mon code via le Browser, je me rend compte que l'extension n'est plus la même. Le programmer a besoin d'un fichier de type .sof, .pof, .jam, .jbc, .ekp ou .jic

    Et je n'arrive malheureusement pas à le trouver dans mon projet.

    Pouvez vous m'aider en m'expliquant comment obtenir ce type de fichier à partir de mon code VHDL ?

    Merci d'avance !

    -----

  2. #2
    drbug

    Re : Problème programmer Quartus

    Bonjour,

    Ça se programme depuis Quartus normalement.
    Il y a deux programmation possible : Dans la mémoire flash (persiste au reboot) ou dans le FPGA (volatile).

    Tu as quelle version ?

    Amicalement,

    Hervé

  3. #3
    invite62091fda

    Re : Problème programmer Quartus

    Oui j'utilise la fonction Programmer de Quartus qui se trouve dans Tools.

    J'utilise actuellement la version 13.0 car c'est la version la plus récente qui permet d'utiliser la Stratix II.

  4. #4
    invite62091fda

    Re : Problème programmer Quartus

    Up, j'ai toujours ce problème qui me ralentie fortement, pouvez vous m'aider ?

  5. A voir en vidéo sur Futura
  6. #5
    drbug

    Re : Problème programmer Quartus

    Mince t'as toujours le problème ?

    Si ton projet est correctement configuré, tu fais,
    Menu Processing/Start compilation --> Çà compile
    Tools/Programmer , HardWare Setup, et start --> Ça programme

    Faudrait que tu regarde ces lien :
    http://www.altera.com/education/trai...urses/ODSW1050
    http://www.altera.com/literature/man...o_quartus2.pdf
    http://www.altera.com/literature/tt/...first_fpga.pdf

    Stratix II c'est déjà pas mal difficile comme FPGA.
    Tu dois avoir une carte qui coûte un max.
    Cette carte doit être accompagnée d'exemple et de tuto ?

    Dis nous si tu y arrives,

    Amicalement,

    Hervé

  7. #6
    albanxiii
    Modérateur

    Re : Problème programmer Quartus

    Bonjour,

    Vous utilisez une demoboard Altera ou une carte de votre conception ?

    @+
    Not only is it not right, it's not even wrong!

  8. #7
    invite62091fda

    Re : Problème programmer Quartus

    Re tout le monde, merci à tous de vos réponse.

    J'ai trouvé le problème, il s'agit du fait que j'utilise la version d'essaie de Quartus qui ne permet pas d'implémenter le code dans le FPGA...

    Merci de votre temps, je vais en attendant essayer de simuler mon code pour voir s'il fonctionnerai bien comme je le souhaite.

  9. #8
    indri

    Re : Problème programmer Quartus

    Avec la web edition (gratuit) j'ai toujours su implémenté le code
    Là où va le vent...

  10. #9
    invite62091fda

    Re : Problème programmer Quartus

    La web edition ne me permet pas de programmer sur ma Stratix deux... En tout cas j'ai pas réussi à trouver la device qui le permettait. Alors après comme je l'ai dis je débute j'ai peux être loupé quelque chose..

  11. #10
    invite62091fda

    Re : Problème programmer Quartus

    Il faut en fait que je remonte jusqu'à la version 11 de Quartus ... Je vous avoue ne pas avoir regardé aussi loin. Je vais tester et je reviens vers vous.

  12. #11
    indri

    Re : Problème programmer Quartus

    C'est vrai que j'ai programmé que des cyclones! Et d'après la page de dl de quartus ce n'est que pour cette famille ou presque.
    Je crois que tu vas devoir passé à la caisse!
    Là où va le vent...

Discussions similaires

  1. probleme de simulation sous quartus II (stratix II)
    Par invite48c81939 dans le forum Électronique
    Réponses: 4
    Dernier message: 14/04/2014, 08h55
  2. Problème de compilation sur Quartus
    Par invite2071fa3f dans le forum Électronique
    Réponses: 4
    Dernier message: 03/10/2010, 20h25
  3. Quartus 2 programmer "internal error" ???
    Par invite13ce2cde dans le forum Électronique
    Réponses: 0
    Dernier message: 21/05/2010, 08h15
  4. Quartus 2 programmer "internal error" ???
    Par invite13ce2cde dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 20/05/2010, 13h40
  5. Problème JDM programmer
    Par invitea75600fd dans le forum Électronique
    Réponses: 9
    Dernier message: 10/03/2008, 09h12
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...