programmation en VHDL
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

programmation en VHDL



  1. #1
    invite0dd1c4e9

    programmation en VHDL


    ------

    Bonjour à toutes et à tous, j'aimerai savoir si des personnes sont disponibles pour m'aider à faire mon projet en langage VHDL, j'en fais depuis l'an dernier mais je ne comprend strictement
    à ce langage de programmation si je n'ai pas au minimum la table de vérité et la vue interne/externe du composant à réaliser. merci beaucoup dans l'attente de vos réponses.
    Je ne souhaite pas mettre le sujet ici au cas où l'un de mes profs le voie merci de votre comprehension.

    -----

  2. #2
    JPL
    Responsable des forums

    Re : programmation en VHDL

    Désolé mais tu n'as rien compris. Je pense plutôt que tu ne veux pas que tes camarades puissent bénéficier de la même aide que toi (c'est classique, on n'est pas né de la dernière pluie). De toutes façons ici on ne fait pas le travail des élèves. On leur demande d'abord ce qu'ils ont fait, même si cela n'a pas marché, et quand on a la preuve qu'ils ont fait un travail avant de poster on donne des pistes que le demandeur doit exploiter lui-même.

    Lis http://forums.futura-sciences.com/el...-rappeler.html.
    Rien ne sert de penser, il faut réfléchir avant - Pierre Dac

  3. #3
    Murayama

    Re : programmation en VHDL

    Bonjour!

    langage VHDL, j'en fais depuis l'an dernier mais je ne comprend strictement
    à ce langage de programmation
    Il manquait le "rien"...

    Je ne souhaite pas mettre le sujet ici au cas où l'un de mes profs le voie merci de votre comprehension.
    Je vois. Et si vous ne comprenez rien, ce n'est pas parce que vous ne faites rien, mais parce que
    vos profs sont nuls, c'est bien ça?

    Pascal

    Pour vous aider à bien saisir la corrélation entre ce que vous faites et les résultats que vous obtenez,
    voyez les mots en rouge.

  4. #4
    jiherve

    Re : programmation en VHDL

    Bonjour,
    En assimilant le VHDL à un langage de programmation c'est déjà très mal engagé, le VHDL est un langage de description qui n'est pas tres difficile à apprendre, au moins pour la partie synthèse qui doit être celle objet de ce fil.
    En suivant les conseils donnés par JPL je peux aider à l'occasion.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    drbug

    Re : programmation en VHDL

    Je t'ai envoyé un MP.

Discussions similaires

  1. programmation VHDL
    Par invite577ad53a dans le forum Électronique
    Réponses: 5
    Dernier message: 19/12/2011, 19h35
  2. Programmation vhdl
    Par invite0d5fe536 dans le forum Électronique
    Réponses: 1
    Dernier message: 20/06/2010, 13h06
  3. programmation VHDL
    Par invite41088425 dans le forum Électronique
    Réponses: 5
    Dernier message: 26/04/2010, 19h24
  4. programmation VHDL du VGA
    Par invited6661c5b dans le forum Électronique
    Réponses: 5
    Dernier message: 20/10/2008, 14h59
  5. programmation VHDL
    Par inviteb61639fd dans le forum TPE / TIPE et autres travaux
    Réponses: 0
    Dernier message: 03/03/2008, 16h24
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...