Porte tri state OE bidirectionnel en Verilog ou VHDL
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Porte tri state OE bidirectionnel en Verilog ou VHDL



  1. #1
    invite3d2336a7

    Question Porte tri state OE bidirectionnel en Verilog ou VHDL


    ------

    Bonjour,

    Je dois faire pour un projet "perso" une porte trois états bidirectionnel afin de faire ou non communiquer 2 bus ensembles.

    En gros, j'ai 2 modules au sein de mon projets qui doivent ou pas communiquer ensemble de façon bi-directionnel.
    En hardware, j'aurais placé des mos par exemple ou des relais, voir des TXB0108 de TI, mais ici, cela doit être intégré.

    Ce que je trouve sur le net, c'est des bidir avec validation de la direction, mais ce n'est pas ça d'on j'ai besoin.

    Est ce que l'un d'entre vous voit de quoi je parle et est ce qu'il y a une solution ?

    Quand je fait ca avec 2 buffer tri states en tête-bêche, j'obtient une erreur...

    En verilog, je vois qu'il y a un truc comme tran(if) ou rtran(if). est ce que je dois creuser par la ?

    Merci d'avance.
    Jo

    -----

  2. #2
    jiherve

    Re : Porte tri state OE bidirectionnel en Verilog ou VHDL

    Bonsoir,
    Le bus en question est il interne au FPGA ou est il externe ?
    Car il n'y a pas de porte tristate interne dans un FPGA, et dans ce cas il faut passer par de la logique standard, soit des multiplexeur soit des ou(ce qui revient au même) mais au final il y aura deux bus si l'on veut du full duplex.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invite3d2336a7

    Re : Porte tri state OE bidirectionnel en Verilog ou VHDL

    Le bus est interne a la puce (FPGA ou CPLD) vu que les modules qui vont communiquer seront également interne.

    Je dirais pas que ce sera du full duplex (un I²C par exemple, n'est pas full duplex ?).
    Une fois cela communiquera dans un sens, une autre fois dans un autre...

    Je pourrais, en fonction, indiquer le sens, mais l'idée, c'est de pas ma casser la tête avec ça et exploiter l'idée de l'interrupteur. Quand c'est ON, ça cause dans les 2 sens...
    Ce qui rend la programmation du module indépendante.

    Au final, l'idée c'est de tester des modules équivalent, mais en hardware externe, individuellement. Je voulais donc une interface programmée et activé/désactiver le module à tester en interne ou que le module externe soit mis en test.

  4. #4
    jiherve

    Re : Porte tri state OE bidirectionnel en Verilog ou VHDL

    Re
    En interne l'idéal ce sont deux bus mais c'est aussi possible avec un seul et un système de détection de collision si tu ne souhaites pas "organiser" les échanges au final cela sera beaucoup plus compliqué.
    Que ce soit sur Altera ou Xilinx il existe des description de bus internes simples qui devraient répondre à tes besoins.
    Pour finir avec un synthétiseur de bonne facture un bidir avec OE sera synthétisé par des portes et des mux en interne et par de vrais tristate en externe, le code sera le même.
    Le truc à la mode c'est l'AXI mais c'est peut être un peu lourd.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura

Discussions similaires

  1. verilog en vhdl
    Par invite7814cbbe dans le forum Électronique
    Réponses: 7
    Dernier message: 03/06/2013, 12h55
  2. Modulation Numerique en Verilog/VHDL
    Par invite1ecdad30 dans le forum Électronique
    Réponses: 6
    Dernier message: 22/11/2011, 09h49
  3. Vhdl tri-state
    Par invite9cb0a5df dans le forum Électronique
    Réponses: 1
    Dernier message: 28/02/2011, 04h59
  4. VHDL ou VERILOG
    Par invite6d675053 dans le forum Électronique
    Réponses: 3
    Dernier message: 23/07/2008, 09h35
  5. convertisseur verilog/vhdl...
    Par invite7e587b3b dans le forum Électronique
    Réponses: 2
    Dernier message: 10/05/2008, 17h59
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...