[Programmation] Aide pour Code VHDL
Répondre à la discussion
Affichage des résultats 1 à 11 sur 11

Aide pour Code VHDL



  1. #1
    MER6SME

    Aide pour Code VHDL


    ------

    Code:
    library ieee; 
    use ieee.Std_Logic_1164.all; 
    entity tp4_4 is 
    port ( clk, S , R : in Std_Logic; 
    		Qout : out Std_Logic ); 
    end tp4_4; 
    architecture archi of tp4_4 is 
    signal D : std_logic ;
    begin 
    P1: process (clk)
    	begin
    	if  clk='1' and clk'event  then 
    			D <=(( not R) and (S and qout);
    
       		 		qout <= D;
    	end if ;
    end process ;
    end archi;
    Voici in code que j'ai fais concernant un circuit

    -----
    Dernière modification par Antoane ; 29/12/2017 à 18h22. Motif: Ajout balises code

  2. #2
    Jack
    Modérateur

    Re : Aide pour Code VHDL

    Bonjour,
    c'est peut-être ton premier message, mais ça ne te dispense pas de lire et d'appliquer les règles de ce forum, notamment la charte que tu as acceptée.

    Le forum "présentez-vous" n'est pas non plus un fourre-tout dans lequel on poste n'importe quoi. Il y a un forum électronique pour les problèmes de VHDL..

    De plus, un minimum de politesse est attendu lorsqu'on ouvre une discussion (revoir la charte)

    Et pour terminer, quelle est la question?

  3. #3
    jiherve

    Re : Aide pour Code VHDL

    Bonjour,
    Et il ne compile pas n'est ce pas ?
    un signal tagué out ne peut pas être utilisé en entrée d'une fonction, il faut soit passer par une variable intermédiaire soit le taguer "inout".
    Mais au final que cherches tu à faire?
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    MER6SME

    Re : Aide pour Code VHDL

    Bonsoir , je m'excuse et merci beaucoup
    Dernière modification par MER6SME ; 01/01/2018 à 18h36.

  5. A voir en vidéo sur Futura
  6. #5
    MER6SME

    Re : Aide pour Code VHDL

    Bonjour , oui il ne s'execute pas :
    Voici le petit circuit que je dois réalisé


    Nom : 26514030_1347237065381532_1435277181_o.jpg
Affichages : 219
Taille : 36,9 Ko


    et
    voici le code que je propose

    library ieee;
    use ieee.Std_Logic_1164.all;
    entity tp4_4 is
    port ( clk, S , R : in Std_Logic;
    Qout : out Std_Logic );
    end tp4_4;
    architecture archi of tp4_4 is
    signal D : std_logic ;
    begin
    P1: process (clk)
    begin
    if clk='1' and clk'event then
    D <=(( not R) and (S and qout);

    qout <= D;
    end if ;
    end process ;
    end archi;
    [/code]

    Donc , je ne maitrise pas encorer VHDL , je suis en initiation , alors j'aimerais avoir vos avis

    Merci

  7. #6
    MER6SME

    Re : Aide pour Code VHDL

    j'ai oublié de mettre les balises du codes

    #
    library ieee;
    use ieee.Std_Logic_1164.all;
    entity tp4_4 is
    port ( clk, S , R : in Std_Logic;
    Qout : out Std_Logic );
    end tp4_4;
    architecture archi of tp4_4 is
    signal D : std_logic ;
    begin
    P1: process (clk)
    begin
    if clk='1' and clk'event then
    D <=(( not R) and (S and qout);

    qout <= D;
    end if ;
    end process ;
    end archi;
    #

  8. #7
    jiherve

    Re : Aide pour Code VHDL

    Bonsoir,
    Tout ce qui est dans le process P1 est dépendant de l'horloge donc le signal D instancie une bascule et Q aussi!
    ; Il y a donc deux bascules au lieu d'une .
    Ensuite ce que j'ai écrit au #3 est toujours vrai.

    Je ne vais pas faire ce truc trivial à ta place.
    essayes encore et je te guiderais
    JR
    l'électronique c'est pas du vaudou!

  9. #8
    MER6SME

    Re : Aide pour Code VHDL

    Bonsoir , merci pour la réponse
    donc , voilà , j'ai retravaillé mon code et voici ce que je propose ( toujours pour ce même circuit)
    J'ai fait en capture pour que ça soit mieux lisible
    j'ai affecté la valeur du signal q à 0 par defaut

    Nom : zalabia.JPG
Affichages : 4145
Taille : 33,6 Ko
    Dernière modification par MER6SME ; 03/01/2018 à 02h33.

  10. #9
    Jack
    Modérateur

    Re : Aide pour Code VHDL

    Citation Envoyé par MER6SME Voir le message
    J'ai fait en capture pour que ça soit mieux lisible
    Ce n'est pas l'idéal. Il est préférable d'utiliser les balises code (ou bouton # de l'éditeur en mode avancé)

  11. #10
    jiherve

    Re : Aide pour Code VHDL

    bonsoir,
    au survol cela semble bon.
    JR
    l'électronique c'est pas du vaudou!

  12. #11
    MER6SME

    Re : Aide pour Code VHDL

    Bonjour , d'accord pour la prochaine fois

Discussions similaires

  1. code VHDL pour FIFO asynchrone
    Par invite6321e7d4 dans le forum Électronique
    Réponses: 2
    Dernier message: 15/03/2012, 20h35
  2. Code VHDL pour conversion d'un entier en binaire
    Par invitea9f621f9 dans le forum Électronique
    Réponses: 1
    Dernier message: 25/04/2010, 14h56
  3. Code VHDL pour conversion du décimal au binaire
    Par invitea9f621f9 dans le forum Électronique
    Réponses: 0
    Dernier message: 25/04/2010, 14h03
  4. Aide pour compteur en VHDL
    Par inviteca665004 dans le forum Électronique
    Réponses: 12
    Dernier message: 10/03/2008, 21h32
  5. Code VHDL pour un SPI
    Par invite003b36af dans le forum Électronique
    Réponses: 4
    Dernier message: 08/04/2006, 22h09
Découvrez nos comparatifs produits sur l'informatique et les technologies.