Langage C - Programmation d'un DAC convertisseur num-analogique
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Langage C - Programmation d'un DAC convertisseur num-analogique



  1. #1
    pegrinoo

    Langage C - Programmation d'un DAC convertisseur num-analogique


    ------

    Bonjour à tous,

    Je dois effectuer un programme en langage C qui doit être capable de :

    - générer un signal en dent de scie de 256 échantillons sur DOUT avec
    une amplitude et une fréquence maximale.
    - Modifier le programme précédent pour générer un signal triangulaire.
    - Ecrire un programme qui génère un signal sinusoïdal de 32 échantillons, d’amplitude et de fréquence maximale.
    - Dans une boucle infinie, réaliser une conversion analogique numérique sur AIN0 et numérique analogique avec la relation yn=xn.

    Tout cela avec le circuit PCF8591, on me donne le début de programme suivant :
    Buf[0] = 0x40;
    Buf[1] = value;
    bcm2835_i2c_write(Buf,2);

    Cependant je n'arrive pas du tout à me lancer, serait-il possible que quelqu'un puisse m'aider au moins pour le début du programme pour que j'ai une base solide et ensuite continuer seul ? Merci pour tout l'aide que vous pourrez m'apporter.

    Bonne journée !

    -----

  2. #2
    Jack
    Modérateur

    Re : Langage C - Programmation d'un DAC convertisseur num-analogique

    Je pense que la moindre des choses aurait été de préciser qu'il s'agissait d'une Raspberry pi.
    Tu ne dis rien non plus de la librairie utilisée pour communiquer avec l'I2C.

    Commence par des trucs simples: tu envoies une tension en sortie de ton DAC, par exemple 2 volts.
    Une fois que tu sais faire ça, tu fais une boucle qui incrémente un compteur toutes les x millisecondes, compteur dont la valeur est envoyée sur le DAC, ce qui te créera une rampe
    etc. etc.

Discussions similaires

  1. [Analogique] convertisseur PWM to tension analogique
    Par capresdt dans le forum Électronique
    Réponses: 2
    Dernier message: 05/06/2018, 10h43
  2. convertisseur analogique numérique
    Par newtech1 dans le forum Électronique
    Réponses: 22
    Dernier message: 07/03/2015, 13h26
  3. convertisseur analogique numerique
    Par electriquestudent dans le forum Électronique
    Réponses: 2
    Dernier message: 04/12/2013, 21h42
  4. Convertisseur analogique numérique
    Par invite0802bd67 dans le forum Électronique
    Réponses: 4
    Dernier message: 05/03/2011, 18h20
  5. Programmation d'un convertisseur analogique/numérique
    Par invitef2420507 dans le forum Électronique
    Réponses: 1
    Dernier message: 21/05/2008, 10h21