Bonjour a tous,
Je suis en liscence d'electronique et je dois simule un asic faisant une fft sur des signaux deja echantillonne.
J'utilise modelsim pour la simulation comportementale et j'ai un petit probleme l'algorithme de fft utilise principalement des multiplications.
Donc pour debuter j'ai essaye de faire une simple multiplication en vhdl (en utilisant (pour le moment)un operateur * plutot que de passer une description des portes):
code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
----
entity multiplier3 is
port (a,b:in signed(3 downto 0);
produitut signed (7 downto 0));
end multiplier3;
----
architecture behavior of multiplier3 is
begin
produit<= a*b;
end behavior;
Je simule mais a la simulation des que le produit de a et b donne un nombre superieur a %1111 le resultat est erroner.
J'ai mis un le code vhdl et les resultat de la simu en piece jointe.
Si quelqu'un a le solution de a ce probleme, ca serait sympatique qu'il m'aide.
Merci d'avance
-----