VHDL operateur *
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

VHDL operateur *



  1. #1
    invite4190916a

    Post VHDL operateur *


    ------

    Bonjour a tous,

    Je suis en liscence d'electronique et je dois simule un asic faisant une fft sur des signaux deja echantillonne.
    J'utilise modelsim pour la simulation comportementale et j'ai un petit probleme l'algorithme de fft utilise principalement des multiplications.
    Donc pour debuter j'ai essaye de faire une simple multiplication en vhdl (en utilisant (pour le moment)un operateur * plutot que de passer une description des portes):

    code:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;

    ----
    entity multiplier3 is
    port (a,b:in signed(3 downto 0);
    produitut signed (7 downto 0));
    end multiplier3;
    ----
    architecture behavior of multiplier3 is
    begin
    produit<= a*b;
    end behavior;

    Je simule mais a la simulation des que le produit de a et b donne un nombre superieur a %1111 le resultat est erroner.

    J'ai mis un le code vhdl et les resultat de la simu en piece jointe.
    Si quelqu'un a le solution de a ce probleme, ca serait sympatique qu'il m'aide.
    Merci d'avance

    -----
    Images attachées Images attachées
    Fichiers attachés Fichiers attachés

  2. #2
    invite4190916a

    Re : VHDL operateur *

    edit:

    signaux:de haut en bas a,b,produit

    on peut voir a la fin de la simu lorsque a et b sont a %1111 soit 15produit egale 00000001 au lieu de 11100001 soit 225

Discussions similaires

  1. opérateur
    Par invite68e73c02 dans le forum Matériel - Hardware
    Réponses: 2
    Dernier message: 03/11/2007, 17h41
  2. opérateur hermitique
    Par invite973c289d dans le forum Mathématiques du supérieur
    Réponses: 4
    Dernier message: 09/01/2007, 19h53
  3. Opérateur différentiel et opérateur intégrale associé
    Par invite412f80f3 dans le forum Mathématiques du supérieur
    Réponses: 14
    Dernier message: 08/07/2006, 18h45
  4. operateur linéaire
    Par invite04fcd5a3 dans le forum Mathématiques du supérieur
    Réponses: 9
    Dernier message: 10/05/2006, 19h50
  5. Opérateur .
    Par invite61942757 dans le forum Mathématiques du supérieur
    Réponses: 7
    Dernier message: 31/01/2005, 16h03
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...