Opérateur
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Opérateur



  1. #1
    invite310d6b50

    Opérateur


    ------

    Bonjour à tous et bonne année!Voici un projet dont je doit réaliser avec un peu de mal d'ailleur.

    Voici la carte:
    Voir "Photo 001" en pièce jointe

    Mes taches:
    1. Modifier le schéma: Remplacement du circuit MM74C922 par un circuit programmable GAL22V10, définition des E/S.
    1. Programmation du GAL22V10 en VHDL
    1. Ecrire les algorithmes des procédures, les traduire en assembleur ou en language C.

    Donc en clair, quand on appui sur une touche il doit s'afficher un message sur l'écran lcd 2*16 caractères (clavier 16 touches).

    J'ai réaliser un programme en VHDL du clavier:
    Voir "Photo 002" en pièce jointe
    Le problème étant que je n'arrive pas, enfin je ne voit pas comment programmer le reste pour que si j'appui sur une touche un message apparait.

    De plus pour la programmation en C j'ai fait un programme pour un pic 16f877 LCD 4 bits et 8 bits en assembleur, si quelqu'un voulais bien m'aider a le traduire en C. Puis pareil quand VHDL, comment faire un programme pour que lors de l'appui sur une touche on est un message sur l'écran lcd.
    Programme 4 bits "photo 003;004;005"
    Le programme fonctionne comme celui du 16 touches en VHDL

    Voila j'espère avoir était assez clair dans mes propos sinon n'ésiter pas à me demander des compléments.Et merci à tous ceux qui pouront m'éclairer!

    -----
    Images attachées Images attachées

  2. #2
    invite310d6b50

    Re : Opérateur

    Personne pour m'aider?

  3. #3
    invite310d6b50

    Re : Opérateur

    ???????????????????

  4. #4
    invite9d3f5cd9

    Re : Opérateur

    Je saurais le faire en langage ABEL dans le logiciel de Xilinx. Ce logiciel permet de le traduire en VHDL.
    On fait la table de vérité et on la met de la forme exigée en ABEL.
    je vous donne un exemple en ABEL d'une table de vérité.
    Images attachées Images attachées

  5. A voir en vidéo sur Futura

Discussions similaires

  1. opérateur
    Par invite68e73c02 dans le forum Matériel - Hardware
    Réponses: 2
    Dernier message: 03/11/2007, 17h41
  2. operateur parite
    Par invited9d78a37 dans le forum Physique
    Réponses: 5
    Dernier message: 15/05/2007, 19h58
  3. Opérateur différentiel et opérateur intégrale associé
    Par invite412f80f3 dans le forum Mathématiques du supérieur
    Réponses: 14
    Dernier message: 08/07/2006, 18h45
  4. Opérateur Temps en MQ ???
    Par invite7399a8aa dans le forum Physique
    Réponses: 1
    Dernier message: 21/01/2006, 17h01
  5. Opérateur .
    Par invite61942757 dans le forum Mathématiques du supérieur
    Réponses: 7
    Dernier message: 31/01/2005, 16h03
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...