générer un carré à partir d'un signal triangle
Répondre à la discussion
Affichage des résultats 1 à 9 sur 9

générer un carré à partir d'un signal triangle



  1. #1
    petemacgyv
    Invité

    générer un carré à partir d'un signal triangle


    ------

    Bonjour,

    Je cherche à réparer un vieux synthé analogique dont le signal carré de l'oscillateur ne fonctionne plus. J'ai à ma diposition, en sortie de l'oscillateur, un signal triangle de même fréquence.

    Je pensais générer un nouveau signal carré en utilisant un AOP monté en comparateur. Ce montage me permet égalment de pouvoir réintégrer la PWM facilement (en entrée inverseuse de l'AOP) et de récupérer ainsi les mêmes fonctionnalités qu'à l'origine.

    J'ai testé ce montage, mais le signal est moyennement carré et pas trop stable...
    J'ai testé avec 2 circuits (LM324, LM358) et le résultat est identique.

    Y-a-t'il un moyen de rendre mon signal plus propre en améliorant le montage comparateur? Est-ce un problème au niveau des circuits que j'utilise?

    Ou comment se rendre compte de la limite de la théorie en électronique

    Merci pour votre aide.

    -----

  2. #2
    Qristoff
    Animateur Électronique

    Re : générer un carré à partir d'un signal triangle

    Bonjour et bienvenue sur le forum FUTURA,
    et pourquoi la sortie carré ne fonctionne plus ?
    un petit schéma peut être ? une photo pour agrémenter la discussion ?

  3. #3
    petemacgyv
    Invité

    Re : générer un carré à partir d'un signal triangle

    Bonjour Qristoff,

    Je ne suis pas le premier propriétaire du synthé. Pourquoi le signal carré ne fonctionne plus? Je n'en sais rien. La seule explication qui me parait plausible, c'est une erreur d'alimentation du synthé (le précédent proprio n'avait pas le transfo d'origine, d'où peut-être une surtension?). Le signal carré est celui qui possède la tension de sortie la plus élevée, à 0-12V (le dent de scie est à 0-10V et le triangle 0-5V).



    Le dent de scie aurait-il été le prochain à cramer???

    J'ai vérifié les signaux en sortie du ci, aucun problème côté dent de scie et triangle, mais la sortie carré plafonne à 0,5V, n'a pas du tout la forme d'un carré, et a une fréquence différente des deux autres.


  4. #4
    Qristoff
    Animateur Électronique

    Re : générer un carré à partir d'un signal triangle

    Pour refaire un carré à partir de ton triangle 0-5V, tu peux simplement utiliser un circuit logique 74HC14 (trigger de schmidt) en vérfiant que la tension du triangle ne dépasse pas 5V sinon mettre une résistance de quelques kohms en série sur l'entrée.

  5. A voir en vidéo sur Futura
  6. #5
    Antoane
    Responsable technique

    Re : générer un carré à partir d'un signal triangle

    Bonjour,
    Citation Envoyé par Qristoff Voir le message
    Pour refaire un carré à partir de ton triangle 0-5V, tu peux simplement utiliser un circuit logique 74HC14 (trigger de schmidt) en vérfiant que la tension du triangle ne dépasse pas 5V sinon mettre une résistance de quelques kohms en série sur l'entrée.
    mais dans ce cas, adieu la sortie en PWM...

    Sinon, pourquoi une porte à trigger ? pour un signal carré -et non rectanglulaire-, il faut au contraire sans trigger. Non ?

    Par ailleurs, avec un 74HCXX, Petemacgyv est limité à 0/5V -comme tu le précises-, et à moins d'y adjoindre un étage push-pull, on est loin des 0/12 V prévus. Il faudrait donc mieux un 40XX (bien que s'il faut un rien de courant, le push-pull sera de toute façon nécessaire.)
    à mon avis, il faut un AOP, (bien que je n'ai pas idée de pourquopi qu'ça marche pas)

    Deux pattes c'est une diode, trois pattes c'est un transistor, quatre pattes c'est une vache.

  7. #6
    invite6de5f0ac

    Re : générer un carré à partir d'un signal triangle

    Bonjour,

    De manière générale il n'est pas recommandé d'utiliser des ampli-ops comme comparateurs, ils sont trop lents. Pour des fréquences pas trop élevées ça peut suffire mais à partir de quelques kHz on commence à voir les fronts de commutation qui ne sont pas très raides. La solution avec un trigger de Schmitt est très souvent préférée. Comme en fait un comparateur n'a que deux états de sortie il peut se dispenser de tout l'arsenal de linéarisation.

    -- françois

  8. #7
    petemacgyv
    Invité

    Re : générer un carré à partir d'un signal triangle

    Merci pour vos réponses.

    Je viens de refaire mes mesures, le signal que j'obtiens n'est pas si mauvais que ça... Je ne sais pas ce que j'ai fait tout à l'heure pour que mon carré soit aussi moche.

    Voici ce que j'obtiens vers 300Hz



    Plutôt joli
    (concernant le rapport cyclique, j'ai tout monté à la va-vite et je n'ai pas trop fouillé pourquoi je ne suis pas exactement à 1/2)

    Vers 30Hz



    Un peu moins mignon là... Pas trop d'idée du pourquoi le signal ne reste pas carré...

    et vers 4kHz



    Là, j'ai peut-être un problème d'acquisation. Je passe par ma carte son, qui est en 48kHz... Il faudrait que j'essaie de reinstaller ma carte 96kHZ. Ou taxer un oscillo au boulot


    Pour ma solution il faudra vraiment que je garde le PWM ; c'est un des côtés les plus marrants du signal carré sur les synthés.
    Donc je vais prendre en compte vos remarques pour tester différents montages ; autant prendre le temps de trouver la meilleure solution.
    Et de toute façon il va me falloir potasser un petit peu toutes vos remarques, parce qu'un comparateur ça ne me pose pas de problème, mais c'est bien le seul montage que je "maitrise" en électronique!

    Je tacherais de donner suite au post.

  9. #8
    Qristoff
    Animateur Électronique

    Re : générer un carré à partir d'un signal triangle

    Si ton rapport cyclique n'est pas de 50%, il peut y avoir plusieurs raisons:
    - le signal d'entrée n'est pas centré sur (Vth+ + Vth-)/2
    - les seuils Vth+ et Vth- ne sont symétriques par rapport au seuil souhaité.
    Quelle tension d'hystérésis prévoit tu ?

    Je ne comprends pas ton truc à base de PWM. Pour moduler le rapport cyclique de sortie, il suffit que le seuil de déclenchement de ton comparateur soit une tension variable entre 0 et 100% de ton signal d'entrée.

  10. #9
    petemacgyv
    Invité

    Re : générer un carré à partir d'un signal triangle

    Il est possible en effet que mon signal d'entrée soit décentré ; j'utilise la sortie "phones" d'un autre synthé pour générer mon triangle... C'est du gros bricolage.

    Oui en effet il n'y a aucun problème sur la modulation du rapport cyclique dans le cas du comparateur. Je rebondissais juste sur la remarque d'antoane qu'un circuit 74HCxx ne permettrait pas de l'inclure.
    Mais comme je ne suis pas sûr d'avoir complètement compris le fonctionnement du 74HC (je ne vois pas comment choisir le seuil de déclenchement)...
    D'où la remarque sur le besoin de potasser tout ça...

    Et je devrais pouvoir trouver du renfort, peut-être au travail, pour m'éclaircir les idées de vive voix.

    En tout cas je vous tiens au courant de l'avancée de mes travaux...

Discussions similaires

  1. génération d'un signal carré
    Par invite014ff062 dans le forum Électronique
    Réponses: 3
    Dernier message: 22/09/2009, 15h01
  2. générer un signal carré
    Par invite4202962c dans le forum Électronique
    Réponses: 13
    Dernier message: 25/11/2008, 21h40
  3. Générer un signal video à partir d'un pic
    Par invite7cd8e22c dans le forum Électronique
    Réponses: 10
    Dernier message: 07/11/2008, 20h09
  4. Générer un signal carré 500hz avec flowcode sur pic 16f84
    Par invitef27eb29a dans le forum Électronique
    Réponses: 2
    Dernier message: 09/02/2007, 20h25
  5. générer un signal carré
    Par invite4aaa7617 dans le forum Électronique
    Réponses: 20
    Dernier message: 27/08/2004, 23h37
Découvrez nos comparatifs produits sur l'informatique et les technologies.