SVP je suis débutante en VHDL, je veux un code pour remplir une matrice qui prend en entrée une valeure à chaque cout d'horloge, pour cela j'ai tapé ce code mais à l'éxcution j'ai remarqué que ça fonctionne pas comme il faut, en faite la matrice est remplit totalement par la méme valeure
<code>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use ieee.numeric_std.all;
use work.type_pkg.all;
use work.type_matx.all;
entity matrice is
port (
matx:in matrix;
a:in bit;
but bit;
clk:in std_logic
);
end matrice;
architecture arch_matrice of matrice is
signal i,j:integer:=2;
signal mat:matrix;
signal tab:tabx;
signal aa:bit;
begin
process(mat,clk)
begin
for i in 0 to 2 loop
for j in 0 to 2 loop
if (clk'event and clk='1')then
mat(i,j)<=a ;
end if;
i<=i+1;
end loop;
end loop;
end process;
end arch_matrice;
</code>
-----