apprentissage VHDL
Discussion fermée
Page 1 sur 3 12 DernièreDernière
Affichage des résultats 1 à 30 sur 76

apprentissage VHDL



  1. #1
    inviteacb3e291

    apprentissage VHDL


    ------

    Bonjour,
    je voudrai apprendre à programmer en VHDL. Pourriez vous me dire de quoi j'ai besoin et comment m'y prendre?
    Merci.

    -----

  2. #2
    invite131791fd

    Re : apprentissage VHDL

    Pour ce qui est de la synthèse de circuits logiques en VHDL je te conseille ce très bon livre:
    INITIATION AU LANGAGE VHDL - AUMIAUX, MICHEL

    Il est simple, clair et tout y est. C'est celui que j'ai utilisé pour concevoir des FPGA et j'y ai trouvé le nécessaire. Pour connaître ensuite la meilleur façon de synthétiser une machine d'état par exemple, tu y trouveras les différente méthodes, à adapter en fonction de ce que préconise le fabricant du circuit que tu utilise.

    Pour ce qui est de la simulation à partir du VHDL, je ne connaît pas de livre en particulier, mais je pense qu'un livre général sur le langage sera indiqué.

    Salut,

  3. #3
    inviteacb3e291

    Re : apprentissage VHDL

    ok ke te remercie
    et est ce qu'il y a un logiciel que tu peux me conseiller?

  4. #4
    invited6a900aa

    Re : apprentissage VHDL

    bonsoir

    je vous conseile particulierement le logiciel Quartus II d'ALTERA le leader mondial dans le domaine des circuit logique programmable, c'est un trés bon logiciel qui permet de compiler et simuler les disign que vous programmer.

    bon courage.

  5. A voir en vidéo sur Futura
  6. #5
    Jack
    Modérateur

    Re : apprentissage VHDL

    J'utilise également QUARTUS II, ainsi qu'ISPLEVER de LATTICE. Je préfère cependant QUARTUS II.

    Avant d'acheter un bouquin, fait une recherche sur VHDL, la littérature sur le sujet ne manquant pas. Un exemple, descends jusqu'à la rubrique VHDL du lien suivant:

    http://perso.wanadoo.fr/xcotton/elec...oursetdocs.htm

    A+

  7. #6
    caliban

    Re : apprentissage VHDL

    Bonsoir,

    Juste une adresse qui s'interesse aux fpga et au VHDL :
    http://www.positron-libre.com/electr...nique-7064.htm

  8. #7
    synapsium

    Re : apprentissage VHDL

    Bonjour je suis également débutant en VHDL et je recherche le plus de documentation possible. Sur le net j'ai trouvé énormement de liens et de notes en format PDF (notament des cours de programmation). J'utilise Quartus ,Warp et ISE chacun ayant ses propres caractéristiques mais la maniabilité est en général la même dans chaque cas.

    Pour l'instant je suis en projet : j'aimerai faire la lecture d'une trame série et enregistrer les données dans une ram statique pour ensuite relire les données et les envoyer vers un pc.
    Mais j'ai beaucoup de mal à m'en sortir pour etre franc.....

    Salut à tous

  9. #8
    invite8e2a291c

    Re : apprentissage VHDL

    il y a egalement la suite de cao ALLIANCE du labo ASIM.

    Initiation au langage VHDL de Michel Aumiaux (2nd edition DUNOD) est sympa et assez pedagogique.

  10. #9
    invite445295b9

    Re : apprentissage VHDL

    bonjour je suis actuellement en BTS systèmes électroniques et j'ai un projet à réaliser en langage VHDL. Il s'agit de réaliser un compteur modulo 250. Je rencontre un problème car je voudrais attribuer des numéro de broche mais je n'y arrive pas. J'utilise comme logiciel ispLEVER.
    dans l'attente de vos réponse.

    mxh

  11. #10
    Jack
    Modérateur

    Re : apprentissage VHDL

    Il ne faut pas hésiter à plonger dans la doc et à aller sur le site de lattice.

    Tu y trouveras la syntaxe. Un exemple tiré d'un de mes circuit. A adapter donc à tes signaux et numéros de pattes:

    Code:
    attribute LOC : string;
    attribute LOC of in5  : signal is "P5";
    attribute LOC of in8  : signal is "P8";
    attribute LOC of in10  : signal is "P10";
    attribute LOC of o  : signal is "P13 P12 P11";
    A+

  12. #11
    invite445295b9

    Re : apprentissage VHDL

    attribute LOC of in5 : signal is "P5";

    pour cette exemple que tu m'as montré et je t'en remercie d'ailleurs:

    LOC correspond à ton nom d'entité ?

    in5 correspond au numéro de broche ?

    P5 correspond au nom de la borche ?

    c'est cela ?

  13. #12
    inviteec57f3a5

    Re : apprentissage VHDL

    Bon pour apprendre un langage, la seule façon d'y arriver c'est le parlé et la seule solution ce sont les codes il faut s'y mettre, pour le logiciel, moi j'utilise ISE 8.2 de xilinx et je pense qu'il est bon, mais faut tenir compte de ce que tu as commme carte, faut pas choisir un logiciel au hasard..............bonne chance et du courage.....thanx..MOC

  14. #13
    jiherve

    Re : apprentissage VHDL

    Bonjour
    Que ce soit avec QII ou Isplever il y a un éditeur graphique pour placer les pins d'I/O.
    Assignment Editor chez Altera.
    Constraint Editor Chez Lattice.
    JR

  15. #14
    Jack
    Modérateur

    Re : apprentissage VHDL

    Citation Envoyé par mxh Voir le message
    attribute LOC of in5 : signal is "P5";

    pour cette exemple que tu m'as montré et je t'en remercie d'ailleurs:

    LOC correspond à ton nom d'entité ?

    in5 correspond au numéro de broche ?

    P5 correspond au nom de la borche ?

    c'est cela ?
    LOC, c'est un attribut propre à lattice puisqu'avec l'altera ça ne fonctionne pas.

    in5, c'est une entrée et P5 représente le numéro de la patte (Pin)

    Que ce soit avec QII ou Isplever il y a un éditeur graphique pour placer les pins d'I/O.
    Assignment Editor chez Altera.
    Constraint Editor Chez Lattice.
    Bien sur, mais je trouve plus pratique de faire l'affectation directement dans le fichier. Ca m'est déjà arrivé de faire une modif et, après recompilation, de perdre toutes mes affectations effectuées avec l'assignment editor. Il faut alors réaffecter les pattes une à une.

    A ce propos jiherve, si tu sais comment effectuer l'affectation des pins dans le source vhdl pour MAXPLUS+II, je suis intéressé.

    Je n'ai pas cherché dans quartus, mais si tu as l'info, je suis preneur également.

    A+

  16. #15
    jiherve

    Re : apprentissage VHDL

    Bonsoir
    Jack je vais chercher , je n'utilise plus Maxplus que de façon occasionnelle et je faisais toujours l'affectation dans le .acf.
    Pour QII c'est possible avec un fichier .tcl
    un exemple demain!
    JR

  17. #16
    jiherve

    Re : apprentissage VHDL

    Bonsoir
    pour Maxplus je n'ai rien trouvé la seule possibilité alternative à l'éditeur intégré c'est des directives insérables dans un EDIF (voir Help)
    pour QII :
    ############################## #########
    # PINOUT
    ############################## #########
    set_location_assignment PIN_R11 -to ppc_a[0]
    set_location_assignment PIN_K19 -to ppc_a[1]
    set_location_assignment PIN_P7 -to ppc_a[2]
    set_location_assignment PIN_T2 -to ppc_a[3]
    set_location_assignment PIN_P11 -to ppc_a[4]
    JR

  18. #17
    Jack
    Modérateur

    Re : apprentissage VHDL

    Merci beaucoup jiherve.

    Je vais archiver ça. Ca me servira surement l'an prochain.

  19. #18
    invitee726974b

    Exclamation Re : apprentissage VHDL

    Bonjour

    Je travail actuellement sur un projet d’études et doit le faire sur ISE 8.2 de xilinx . J’utilise une carte Virtex II Pro. Je n’ai jamais travailler avec Xilinx . Je suis plus familiarisé avec Quartus II. Est ce que vous pouvez me dire ou est ce je peux trouver des doc en français détaillés sur comment utiliser ce logiciel pour créer des schémas bloc sur ce logiciel et faire une Implémentation et des verifications Je commence a peine avec ce logiciel et j’ai vraiment du mal a le cerner . J’ai réussi a suivre les doc en anglais pour un code simple VHDL l’histoire de s’y mettre. Par contre je n’ai pas réussi a faire l’implémentation car le doc n’était pas sur la bonne carte (le bon logiciel mais pas la bonne carte) . Bref si vous avez quoi que ça soit comme documentation sur l’utilisation et des exemples détaillés des applications ça sera vraiment super

    Merci

  20. #19
    invite1cbab25a

    recherche logiciel

    Bonjour a tous,je mapel vincent et je viens a peine de découvrir le vhdl en cours.j'aimerais savoir si quelqu'un aurai un lien pour ke je puisse télécharger le logiciel quartus II 5.0 car c'est celui qu'on utilise.mercii

    Bonne journée

  21. #20
    invite553ae296

    Re : recherche logiciel

    Bonsoir

    Une question ,sous lattice comment fait-on pour réunir deux schématiques , en un seul ? .

    merci d'avance

  22. #21
    Jack
    Modérateur

    Re : apprentissage VHDL

    Pour télécharger quartus, il suffit d'aller sur le site d'ALTERA. Mais la version 5 est un peu obsolète.

    A+

  23. #22
    invitecc76c51a

    Re : apprentissage VHDL

    Bonjour,
    je suis nouvelle en vhdl utilisant Altera et ainsi Quartus II.
    Je voudrais utiliser une detection sur front montant d'un signal puis sur front descendant. Malheureusement, je me confronte à une erreur :

    Error (10819): Netlist error at compt.vhd(25): can't infer register for A because it changes value on both rising and falling edges of the clock

    Pourriez vous éclairer ma lanterne?
    Merci

  24. #23
    jiherve

    Re : apprentissage VHDL

    Bonjour
    Il n'existe pas de bascule physique qui puisse changer d'état sur les deux fronts!
    Le VHDL a beau être un langage d'apparence logicielle ce qui le différentie de ces charabias c'est que derrière il y a une réalité physique.
    Pour ton problème il faut deux process l'un pour le front montant(rising_edge() et l'autre pour le front descendant(falling_edge) ou bien un échantillonnage du signal par une horloge ad'hoc et l'exploitation de la différentiation des fronts(3 bascules minimum), tout dépend de l'usage, cette dernière solution est la solution propre.
    JR
    l'électronique c'est pas du vaudou!

  25. #24
    invitecbbb7ca3

    Re : apprentissage VHDL

    bonsoiir tout le monde!!
    je dois ecrire le programme vhdl d'un multiplicateur matriciel.
    Le principe c'est de faire la mutliplication de deux matrices carré de 2 et ensuite de 3.
    Déjà il faut commencer pas le création d'une première cellule necessaire au calcul qui qui aura comme entrées :ai,bi,ci de type integer range 0 to 15 et une horloge H in bit et aura trois sorties: ao,bo,co integer (0 to 15) tels que ao=ai; bo=bi, et co=(ai*bi)+ci
    ensuite on va definir l'entité principale qui va faire appelle à 9 cellules et a a1,a2,a3,b1,b2,b3 et H( dans le cas d'une matrice 2x2), c'est là où commencent les problèmes pour moi!!
    dans l'architecture il faut definir des signaux(très nombreux) et des appels de la form :cellule port map(a1,b1,zero,H,x1,x2,x3) où zero,x1,x2,x3 sont des signaux...
    j'espère avoir était un peu claire :s
    Merci pour votre aide

  26. #25
    invite59aede49

    Re : apprentissage VHDL

    Citation Envoyé par Jack Voir le message
    J'utilise également QUARTUS II, ainsi qu'ISPLEVER de LATTICE. Je préfère cependant QUARTUS II.

    Avant d'acheter un bouquin, fait une recherche sur VHDL, la littérature sur le sujet ne manquant pas. Un exemple, descends jusqu'à la rubrique VHDL du lien suivant:

    http://perso.wanadoo.fr/xcotton/elec...oursetdocs.htm

    A+
    Génial ton adresse je connaissais pas ! Je n'hésiterais pas à l'utiliser pour me renforcer en électronique! Merci

  27. #26
    invite25a451cd

    Re : apprentissage VHDL

    Bonsoir quelqu'un pourrait il m'expliquer comment savoir si une entrée est déclarée comme bit ou comme std_logic
    je crois que le std_logic peut prendre 9 états ms comment savoir je ne saisie cette notion est ce que quelqu'un pourrait m'éclairer
    Merci

  28. #27
    jiherve

    Re : apprentissage VHDL

    Bonsoir,
    C'est ton code qui déclare le type de l'entrée donc tu dois avoir la réponse.
    Les 9 états d'un std_logic sont :
    '1' : '1' fort
    '0' : '0' fort
    'Z' : haute impédance
    'X' : inconnu fort
    'U' : non initialisé
    'H' : un '1' faible
    'L' : un '0' faible
    'W': inconnu faible
    '-' : sans importance
    Dans la réalité seuls les trois premiers états ont une correspondance physique, les états 'H' et 'L' sont utiles pour simuler pull up et pull down.
    JR
    l'électronique c'est pas du vaudou!

  29. #28
    invite25a451cd

    Re : apprentissage VHDL

    Merci pr la repense
    Mais si le '1' et le '0' sont des valeurs envisageables pour le std_logic déclaré un signal comme "bit" ou comme "std_logi" revient au même vu que le bit est inclu dans le std_logic ?
    Merci de rependre

  30. #29
    jiherve

    Re : apprentissage VHDL

    Re
    Le VHDL est un langage fortement typé, donc certains opérateurs(les decalages) conçus pour accepter le type bit refusent le type std_logic , l'inverse ne pose normalement pas de problème avec les opérateurs de base.
    Il existe des fonctions de conversion:
    titi <= to_bitvector(toto)
    toto <= to_stdlogicvector(titi)
    avec toto: std_logic_vector, titi: bit_vector
    JR
    l'électronique c'est pas du vaudou!

  31. #30
    invite8b36e0e2

    Re : apprentissage VHDL

    Citation Envoyé par annece Voir le message
    Bonjour,
    je voudrai apprendre à programmer en VHDL. Pourriez vous me dire de quoi j'ai besoin et comment m'y prendre?
    Merci.
    Moi aussi j'aimerai bien apprendre le VHDL, mais je ne sais même pas par ou commencer.
    Je n'ai aucune base et je voudrai que vous me guidiez et vous me dites quoi faire.
    Help pleaaaaaaase!!!!!!!!!!!!!!

Page 1 sur 3 12 DernièreDernière

Discussions similaires

  1. apprentissage
    Par invite89f3adc2 dans le forum Logiciel - Software - Open Source
    Réponses: 2
    Dernier message: 30/06/2007, 12h00
  2. Relativité et apprentissage
    Par invite4ef352d8 dans le forum Physique
    Réponses: 18
    Dernier message: 11/10/2006, 19h17
  3. apprentissage
    Par invited2e22de3 dans le forum Biologie
    Réponses: 9
    Dernier message: 16/03/2005, 09h14
  4. l'apprentissage (ou re apprentissage)
    Par invite4b816bc1 dans le forum [ARCHIVE] Philosophie
    Réponses: 1
    Dernier message: 15/01/2005, 17h21
Découvrez nos comparatifs produits sur l'informatique et les technologies.