programme VHDL
Répondre à la discussion
Affichage des résultats 1 à 6 sur 6

programme VHDL



  1. #1
    invite3ee1529b

    programme VHDL


    ------

    Bonjour tout le monde!

    j'aimerai realiser un programme en VHDL.
    mon problème est le suivant:

    je veux faire un masque de [I]t[I] milisecondes sur la première pulse d'un signal carré (VCE), le masque doit être à zéro tout le reste du temps ( et donc non réarmable).

    merci de votre aide les amis.



    La mention d'urgence, dans un titre est contre productive et malvenue. Elle est supprimée.

    .

    -----
    Dernière modification par gienas ; 14/04/2010 à 13h29. Motif: Modifié le titre

  2. #2
    Jack
    Modérateur

    Re : programme VHDL urgent!

    Le vhdl étant un langage d'électroniciens et pas d'informaticien, je transfère dans le forum électronique.

    Bonne chance

  3. #3
    jiherve

    Re : programme VHDL urgent!

    Bonsoir,
    je passe sur les déclarations verbeuses et il faut bien que tu fasses quelquec hose:
    Code:
    process(clrn, clk)
    begin
      if clrn = '0' then
        ff <= (others => '0');
        masq<= '0';
        cpt1 <= 0;
        cpt2 <= max_periode_sig_in ;
      elsif rising_edge(clk) then
        -- détection front montant
        ff <= (not ff(1) and ff(0) & ff(0) & sig_in);
    
        -- mono retriggerable qui isolera l'apparition du signal ou sa disparition
    
        if ff(2) = '1' then
           cpt2 <= 0;
        elsif cpt2 < max_periode_sig_in then
           cpt2 <= cpt+1;
        end if;
    
        -- génération du masque
        if ff(2) = '1' and cpt2 = max_periode_sig_in then
           cpt1 <= 0;
           masq <= '1';
        elsif cpt1 < durée_ masq then
          cpt1 <= cpt1 + 1
        else
          masq <= '0';
        end if;
      end if;
    end process;
    les constantes doivent êtres ajustées en fonction de la période d'horloge et celle du signal d'entrée.
    Vu l'heure c'est sans garantie!
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    invite3ee1529b

    Re : programme VHDL urgent!

    ok très bien je vois plus clair dans ma démarche maintenant, merci.
    j'ai aussi pour ma part plus de renseignements sur le programme.

    je doit synthétiser une équation en fait.

    S= A + B

    A= not VCE & SELECTION & CMDE
    B= not SELECTION & CMDE et MASQUE

    donc on a vu que le masque doit trigger sur le premier niveau logique 1 du VCE (signal carré de 700hz), et le masque doit durer 50µs (j'ai pas la valeur exacte encore).


    vu que SELECTION est commun aux deux membres je pensais faire mon code autour de celui-ci.




    si SELECTION='1' alors
    si ( not VCE & CMDE) alors
    A <='1' sinon '0';
    fin si;
    sinon si SELECTION = '0' alors
    (détection du premier front de VCE alors déclenchement du masque)
    si (masque='1' & CMDE) alors
    B <= '1';
    fin si;


    S <= A + b;

    est-ce possible avec une architecture séquentielle ou alors est-ce mieux en concurrent?

  5. A voir en vidéo sur Futura
  6. #5
    jiherve

    Re : programme VHDL

    Bonsoir,
    pour faire du timing il faut du séquentiel, cependant cela peut être aussi concurrent!
    Fais l'effort d'utiliser la syntaxe VHDL.
    JR
    l'électronique c'est pas du vaudou!

  7. #6
    invite3ee1529b

    Re : programme VHDL

    Désolé pour la syntaxe c'étais pour faire rapide dans l'écriture du post.
    Je continue de plancher sur la façon de gérer le timing.

    merci pour ton aide JR.

Discussions similaires

  1. Programme VHDL
    Par invitec95e1776 dans le forum Électronique
    Réponses: 2
    Dernier message: 13/03/2009, 12h46
  2. programme VHDL
    Par invite3049a46d dans le forum Électronique
    Réponses: 3
    Dernier message: 07/11/2008, 07h35
  3. programme vhdl:besoin d aide
    Par invite165c4689 dans le forum Électronique
    Réponses: 2
    Dernier message: 18/05/2008, 22h56
  4. concernant un programme vhdl
    Par invite165c4689 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 15/05/2008, 11h06
  5. simulation d'un programme VHDL
    Par invite3e0ef868 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/10/2007, 13h47
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...