Registre à décalage : 74HC595
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

Registre à décalage : 74HC595



  1. #1
    invitefedf3f33

    Registre à décalage : 74HC595


    ------

    Bonjour,

    Je viens vous voir concernant un petit problème (sans blague!)... En effet, je ne comprends pas tout à fais le fonctionnement des registres à décalage d'un point de vue du rôles de certaines broches!

    J'aimerais savoir si vous pouviez m'expliquer le rôles de certaines broches : ST_CP, DS et Q'7 (J'ai pourtant lu plusieurs cours différents!)

    En revanche, j'ai compris le reste :
    - /OE : Output Enable Actif sur NL 0 : Connexion à 0 pour avoir une sortie
    - /MR : Master Reset Actif sur NL 1 : Connexion à +5V pour ne pas avoir de reset
    - Q0 ~7 : Sortie parallère


    D'avance, merci

    PS : Doc technique

    -----

  2. #2
    ftorama

    Re : Registre à décalage : 74HC595

    C'est ça de ne pas apprendre à lire les schémas IEC (figure 3) et de travailler avec des boites à pattes.

    Si tu regardes la figure 4 de ta doc, tu vois que le registre possède 3 éléments séparés. Tout d'abord le registre à décalage proprement parler, ensuite le registre de sortie et enfin la logique 3 états qui vient faire sortir les données du registre de sortie vers le monde extérieur.

    Donc, tes données série entre par DS. A chaque front sur C1, les données sont décalées d'un cran et la première prend l'état de la valeur DS.

    Au bout de 8 cycles d'horloge, le registre est rempli. La sortie Q'7 permet de ne pas perdre les premiers bits transmis, notamment pour l'envoyer vers d'autres registres à décalage, montés en cascade (la sortie Q'7 du premier branchée sur l'entrée DS du second et ainsi de suite).

    Lorsque les données sont en place, donc que le registre d'entrée est correctement configuré, un pulse sur STCP (ou C2 en dénomination IEC) permet de transférer le contenu du registre d'entrée vers le registre de sortie.

    Pour que le registre de sortie soit recopié sur les sorties Qn, il faut que /OE (EN3) soit à 0. Si il est à 1, les sorties sont en haute-impédance.

    En espérant que ce soit plus clair
    Quand un homme a faim, mieux vaut lui aprendre à pecher que de lui donner un poisson.

  3. #3
    invitefedf3f33

    Re : Registre à décalage : 74HC595

    Ah! Merci bien, je pense avoir compris! Juste pour être sûr :
    - DS : Données Séries
    - SH_CP : Horloge synchrone avec DS
    - ST_CP : Front montant activant l'envoie des donnée en sortie

    Donc sur le schéma fig4
    1 - Le registre à décalage, chaque front de SH_CP l'information se "décale" d'un cran (pour syntétiser) et donc à partir du 8ème
    2 - ST_CP sur front montant envoie le contenu du registre au bloc suivant
    3 - Activation de la sortie!

    Merci beacoup!

  4. #4
    ftorama

    Re : Registre à décalage : 74HC595

    c'est ça....
    Quand un homme a faim, mieux vaut lui aprendre à pecher que de lui donner un poisson.

  5. A voir en vidéo sur Futura
  6. #5
    invitefedf3f33

    Re : Registre à décalage : 74HC595

    merci de l'intervention

    (C'est ça de ne pas apprendre à lire les schémas IEC (figure 3) et de travailler avec des boites à pattes. => Le jour où on m'apprendra a lire ces schéma... j'essaye plus de les comprendre qu'autre chose!)

  7. #6
    ftorama

    Re : Registre à décalage : 74HC595

    Je remercierais jamais assez le prof qui me les a enseigné. Entre un schéma Elektor et un schéma Electronique Pratique, j'ai choisi
    Quand un homme a faim, mieux vaut lui aprendre à pecher que de lui donner un poisson.

  8. #7
    invitefedf3f33

    Re : Registre à décalage : 74HC595

    C'est vrai que c'est utile... Mais bon, après le bac, l'électro c'est FINI! Donc bon, maintenant je connais le fonctionnement de tous les composants qui sont au programme, ça me va!

Discussions similaires

  1. registre de décalage
    Par invite55fae67a dans le forum Physique
    Réponses: 3
    Dernier message: 20/12/2010, 22h37
  2. Registre à décalage
    Par invite2ceddf9e dans le forum Électronique
    Réponses: 14
    Dernier message: 09/04/2010, 08h15
  3. Registre à décalage
    Par invite1a530f8d dans le forum Électronique
    Réponses: 6
    Dernier message: 26/06/2009, 11h50
  4. explications registre à décalage
    Par invitec1b15763 dans le forum Électronique
    Réponses: 1
    Dernier message: 11/06/2009, 12h44
  5. registre à décalage
    Par invite74efa975 dans le forum Électronique
    Réponses: 3
    Dernier message: 30/05/2009, 18h54
Découvrez nos comparatifs produits sur l'informatique et les technologies.