Multiplier 12 bit vhdl
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

Multiplier 12 bit vhdl



  1. #1
    invite169e9a75

    Multiplier 12 bit vhdl


    ------

    Bonjour à vous tous !
    C'est la première fois que je poste dans ce forum et j'aurai besoin de votre aide.
    Je compte implémenter un multiplicateur 12 bit. Ce dernier doit être très rapide. Pour ce faire j'ai opté pour l'architecture utilisant des registres( pour les deux opérandes et le resultat), une porte AND, un additionneur 12 bit et une machine à état! Vous verrez l'image de l'architecture que je compte utilisé dans la pièce jointe.

    Ma question est la suivante : Pensez vous que cette architecture est performant en terme de vitesse d'exécution.

    Merci d'avance .
    Charko[IMG]C:\Users\bomar\Desktop\multipl ieur[/IMG]

    -----

  2. #2
    invite169e9a75

    Re : Multiplier 12 bit vhdl

    Citation Envoyé par charko Voir le message
    Bonjour à vous tous !
    C'est la première fois que je poste dans ce forum et j'aurai besoin de votre aide.
    Je compte implémenter un multiplicateur 12 bit. Ce dernier doit être très rapide. Pour ce faire j'ai opté pour l'architecture utilisant des registres( pour les deux opérandes et le resultat), une porte AND, un additionneur 12 bit et une machine à état! Vous verrez l'image de l'architecture que je compte utilisé dans la pièce jointe.

    Ma question est la suivante : Pensez vous que cette architecture est performant en terme de vitesse d'exécution.

    Merci d'avance .
    Charko[IMG]C:\Users\bomar\Desktop\multipl ieur[/IMG]

  3. #3
    invite169e9a75

    Re : Multiplier 12 bit vhdl

    Voici l'url de l'image correct : file:///C:/Users/bomar/Desktop/multiplieur.jpg

  4. #4
    invite0258f73f

    Re : Multiplier 12 bit vhdl

    Quelle est la cible pour ce multiplieur ?
    ASIC ? FPGA ?

  5. A voir en vidéo sur Futura
  6. #5
    Jack
    Modérateur

    Re : Multiplier 12 bit vhdl

    Citation Envoyé par charko Voir le message
    Voici l'url de l'image correct : file:///C:/Users/bomar/Desktop/multiplieur.jpg
    A mon avis, on va avoir du mal à accéder au bureau de ton ordi ...

    Pour les pièces jointes:
    http://forums.futura-sciences.com/el...-sabonner.html

    A+

  7. #6
    invite169e9a75

    Re : Multiplier 12 bit vhdl

    Citation Envoyé par antoine000 Voir le message
    Quelle est la cible pour ce multiplieur ?
    ASIC ? FPGA ?
    Bonjour à vous tous .

    La cible est un FPGA et j'ai finalement opté pour le multiplieur intégré dans le FPGA( block DSP) optimisé pour la performance.

    Je pense que c'est la bonne solution.

    Merci

  8. #7
    jiherve

    Re : Multiplier 12 bit vhdl

    Bonsoir,

    Citation Envoyé par charko Voir le message
    Bonjour à vous tous .

    La cible est un FPGA et j'ai finalement opté pour le multiplieur intégré dans le FPGA( block DSP) optimisé pour la performance.

    Je pense que c'est la bonne solution.

    Merci
    oui en effet!
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Multiplier de Lagrange
    Par invitedf656c21 dans le forum Mathématiques du supérieur
    Réponses: 1
    Dernier message: 16/02/2010, 12h42
  2. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  3. passer de 16 bit de la sortie du FIFO a 8 bit a l'entrée de l'UART
    Par invite6d135795 dans le forum Électronique
    Réponses: 1
    Dernier message: 21/07/2008, 20h41
  4. codeur 4 bit vers 7 bit sur VHDL
    Par invitec2fad112 dans le forum Électronique
    Réponses: 4
    Dernier message: 06/05/2008, 20h56
  5. Compilateur CCS C - initialiser struct bit à bit ?
    Par invite14089c50 dans le forum Électronique
    Réponses: 4
    Dernier message: 26/11/2007, 14h37
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...