generer un signal carré avec un pic 16f887
Répondre à la discussion
Affichage des résultats 1 à 13 sur 13

generer un signal carré avec un pic 16f887



  1. #1
    invitebd188048

    generer un signal carré avec un pic 16f887


    ------

    salut tout le monde je voudrais générer un signal carré avec un pic 16f887 mais la n'est pas le problème car je voudrais faire varier la période selon des conditions(la faire grandir ou la diminuer) bref je ne voudrais pas rentrer dans les détails est ce que quelqu'un pourrait m'aidez SVP

    -----

  2. #2
    inviteb2a95bac

    Re : generer un signal carré avec un pic 16f887

    C'est possible en effet...
    Un timer peut faire l'affaire.
    Pour répondre correctement, des informations complémentaires sont les bienvenues...

  3. #3
    guillaume83

    Re : generer un signal carré avec un pic 16f887

    bonjour ,
    tu peux utiliser le module pwm.
    ou géner le signal avec une boucle : etat haut, delai , etat bas , delai, boucle.
    avec tes "conditions " qui modifient soit les parametres du pwm soit les delais de la boucle .
    @+

  4. #4
    invitebd188048

    Re : generer un signal carré avec un pic 16f887

    merci a vous est ce que ce serai possible de le visualiser sur un oscilloscope (j'utilise proteus isis) si oui quelle genre de sortie utiliser analogique , numerique comment faire et merci.

  5. A voir en vidéo sur Futura
  6. #5
    invite29971eb1

    Re : generer un signal carré avec un pic 16f887

    Sur la sortie signal carré.....


    Si tu commençais par les bases.....

  7. #6
    invitebd188048

    Re : generer un signal carré avec un pic 16f887

    florama si tu pouvais être un peut plus précis

  8. #7
    invite29971eb1

    Re : generer un signal carré avec un pic 16f887

    Citation Envoyé par peace6ali Voir le message
    florama si tu pouvais être un peut plus précis
    Tu ouvres la datasheet, tu ouvres les tutos de Microchip, tu ouvres le cours de Bigonoff...Et après tu viens poser des questions....les forums ne sont pas là pour remplacer les moteurs de recherche, et encore moins pour faire les recherches pour toi

  9. #8
    invitebd188048

    Re : generer un signal carré avec un pic 16f887

    merci beaucoup a toi

  10. #9
    inviteb2a95bac

    Re : generer un signal carré avec un pic 16f887

    Je ne veux pas me faire l'avocat du mais ftorama a raison.
    Sais tu au moins ce qu'est un signal carré ?
    Je pense également que le back to basic s'impose...

  11. #10
    invitebd188048

    Re : generer un signal carré avec un pic 16f887

    on est la pour apprendre non ??? alors expliquer moi

  12. #11
    invite3570b5f7

    Re : generer un signal carré avec un pic 16f887

    Citation Envoyé par peace6ali Voir le message
    on est la pour apprendre non ??? alors expliquer moi

    On a pas besoin d'ordres.

    De plus, plus haut, on t'a demandé des précisions sur ce signal carré et tu n'y a pas répondu donc on ne peut pas te répondre plus précisément que ce qui t'a été dis.

    C'est pour faire quoi ? Quelle fréquence ? Quel rapport cyclique ?

    Commence comme Ftorama te l'a dit, par chercher sur le net, tu as pleins d’exemples.

    Présentes nous une base et si cela ne fonctionne pas on t'aideras.

  13. #12
    invitebd188048

    Re : generer un signal carré avec un pic 16f887

    Le seul problème que j'ai c'est que je ne sais pas sur quelle genre de sortie je pourrais envoyer mon signal carré pour l'exploiter c'est tout

  14. #13
    inviteb2a95bac

    Re : generer un signal carré avec un pic 16f887

    Bonjour à tous,

    Je pense que tu devrais lire la datasheet et plus particulièrement le chapitre des IO.

    ensuite il faut se poser une question, combien de type(s) de sortie(s) sont à ma disposition.
    Tes messages précédent nous montrent que tu n'as pas assez étudier le sujet.

    Aussi je ne peux que te conseiller quelques formules de politesse telle que : svp, merci,... ça aide

Discussions similaires

  1. Generer signal PWM precis avec un PIC
    Par invite5d1bc976 dans le forum Électronique
    Réponses: 9
    Dernier message: 06/04/2012, 19h05
  2. Générer un signal triangle à partir d'un signal carré : problème
    Par invite4450428a dans le forum Électronique
    Réponses: 8
    Dernier message: 03/01/2010, 23h59
  3. générer un signal carré
    Par invite4202962c dans le forum Électronique
    Réponses: 13
    Dernier message: 25/11/2008, 21h40
  4. Générer un signal carré 500hz avec flowcode sur pic 16f84
    Par invitef27eb29a dans le forum Électronique
    Réponses: 2
    Dernier message: 09/02/2007, 20h25
  5. générer un signal carré
    Par invite4aaa7617 dans le forum Électronique
    Réponses: 20
    Dernier message: 27/08/2004, 23h37
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...