Convertion bit_vector en integer (VHDL)
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Convertion bit_vector en integer (VHDL)



  1. #1
    invite67ee8e47

    Convertion bit_vector en integer (VHDL)


    ------

    Bonjour,

    j'ai mis les librairies :

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use ieee.numeric_std.all;

    REGaddress(conv_integer(curren tADDreg))(0) <= ST2inDATA;

    Le compilateur ne connaît pas conv_integer?

    currentADDreg est du type signal std_logic.

    type regADDRtype is array (2 downto 0) of std_logic_vector(7 downto 0);
    type DOUBLEregADDRtype is array (1 downto 0) of regADDRtype;
    signal REGaddress: DOUBLEregADDRtype;

    ST2inDATA : in std_logic_vector(7 downto 0);

    Cordialement,

    DELALIN Ambroise.

    -----

  2. #2
    jiherve

    Re : Convertion bit_vector en integer (VHDL)

    Citation Envoyé par doeul Voir le message
    Bonjour,

    j'ai mis les librairies :

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use ieee.numeric_std.all;

    REGaddress(conv_integer(curren tADDreg))(0) <= ST2inDATA;

    Le compilateur ne connaît pas conv_integer?

    currentADDreg est du type signal std_logic.

    type regADDRtype is array (2 downto 0) of std_logic_vector(7 downto 0);
    type DOUBLEregADDRtype is array (1 downto 0) of regADDRtype;
    signal REGaddress: DOUBLEregADDRtype;

    ST2inDATA : in std_logic_vector(7 downto 0);

    Cordialement,
    la conversion vers un un integer n'est possible qu'à partir d'un std_logic_vector et s’écrit par exemple
    to_integer(unsigned (REGaddress(0)(0))) ou to_integer(signed (REGaddress(0)(0)))
    currentADDreg est un std_logic il faut donc le transformer en un std_logic_vector(0 downto 0);
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. intéger UTC après 1er année maths sup
    Par tutewarm dans le forum Orientation après le BAC
    Réponses: 20
    Dernier message: 28/01/2017, 12h10
  2. Convertion bit_vector en integer (VHDL)
    Par invite67ee8e47 dans le forum Électronique
    Réponses: 0
    Dernier message: 28/05/2012, 10h43
  3. [Blanc] comment detecter les panne sur les circuit integer
    Par invite88c56b38 dans le forum Dépannage
    Réponses: 0
    Dernier message: 09/09/2010, 17h21
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. integer l'insa apres un dut
    Par invitef03b4d87 dans le forum Orientation après le BAC
    Réponses: 3
    Dernier message: 13/04/2006, 16h38
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...