programme principal
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

programme principal



  1. #1
    fsoni

    programme principal


    ------

    SVP j'ai des fichiers (file.vhd), je veux écrire un programme principal qui fait appel à ces fichiers (entités) comment je fait? SVP quelqu'un a une squellette ou lien d'un exemple pour m'aider merciii

    -----

  2. #2
    azad

    Re : programme principal

    Salut
    Excellente idée ! Reste à savoir ce que tu veux en faire ? les lire, les modifier, les monter, les formater ? Et puis aussi, tout de même s'agit-il te TES fichiers ? ou de ceux qui appartiennent au système ?

  3. #3
    fsoni

    Re : programme principal

    voila j'ai essayé ce code
    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    
    ENTITY tous IS
    PORT(
    norme: in std_logic_vector (1 to 2);
    clk,reset,data_in : IN STD_LOGIC;
            data_out : OUT STD_LOGIC);
    END tous;
     
    ARCHITECTURE truc OF tous IS
     COMPONENT gsm
        PORT(
        data_in : in std_logic;
        data_out:out std_logic;
        reset:in std_logic;
      clk:in std_logic
        );
      END COMPONENT;
    BEGIN
      i1:gsm PORT MAP(clk=>clk,reset=>reset,data_in=>data_in,data_out=>data_out);
    END truc;
    
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    
    ENTITY gsm IS
      PORT(data_in : in std_logic;
        data_out:out std_logic;
        reset:in std_logic;
      clk:in std_logic
    );
    END gsm;
    ARCHITECTURE gsm_arch OF gsm IS
    -------code de gsm     
    end process;
    END gsm_arch;
    voila ce que je veux:: je veux faire un process qui ne fait l'instanciation de ce composant qu'aprés vérification de la norme "norme" , où dois-je mettre ce prcocessus?

  4. #4
    azad

    Re : programme principal

    Hum....
    J'ai mal compris. A mes yeux les fichiers .vhd se traitent comme des volumes physiques : ce sont des disques durs, un point c'est tout. Mais parlons-nous de la même chose ?

  5. A voir en vidéo sur Futura
  6. #5
    fsoni

    Re : programme principal

    SVP quelqu'un peut m'expliquer en UMTS, par quoi est déterminé le paramétre TTI,
    quand TTI=10 ms ?
    Quand TTI=20ms ?
    quand TTI=40ms ?
    quand TTI=80 ms ?
    donnez un lien de document ou article c'est urgent

  7. #6
    azad

    Re : programme principal

    Faudrait savoir ce que tu veux, tu ne sais pas répondre aux questions ?

  8. #7
    fsoni

    Re : programme principal

    merci azad j'ai résolu le probléme, mais j'ai besoin de comprendre le fonctionnement de la norme UMTS pour pouvoir faire un code pour son entrelaceur

Discussions similaires

  1. anneau principal
    Par christophe_de_Berlin dans le forum Mathématiques du supérieur
    Réponses: 2
    Dernier message: 14/04/2009, 13h55
  2. Le principal c'est ça
    Par clarilau dans le forum Habitat bioclimatique, isolation et chauffage
    Réponses: 8
    Dernier message: 10/01/2009, 21h44
  3. Anneau principal
    Par invite7bde3b10 dans le forum Mathématiques du supérieur
    Réponses: 3
    Dernier message: 18/11/2008, 23h20
  4. idéal non principal
    Par invite5c6c2cbf dans le forum Mathématiques du supérieur
    Réponses: 2
    Dernier message: 21/11/2007, 18h28
  5. Fibré principal
    Par invite5843342c dans le forum Mathématiques du supérieur
    Réponses: 0
    Dernier message: 17/03/2007, 17h26
Découvrez nos comparatifs produits sur l'informatique et les technologies.