SVP j'ai des fichiers (file.vhd), je veux écrire un programme principal qui fait appel à ces fichiers (entités) comment je fait? SVP quelqu'un a une squellette ou lien d'un exemple pour m'aider merciii
-----
26/05/2013, 17h00
#2
azad
Date d'inscription
février 2006
Localisation
Bastia
Âge
87
Messages
2 721
Re : programme principal
Salut
Excellente idée ! Reste à savoir ce que tu veux en faire ? les lire, les modifier, les monter, les formater ? Et puis aussi, tout de même s'agit-il te TES fichiers ? ou de ceux qui appartiennent au système ?
27/05/2013, 11h36
#3
invitea0c4ca07
Date d'inscription
janvier 1970
Messages
59
Re : programme principal
voila j'ai essayé ce code
Code:
library ieee;
use ieee.std_logic_1164.all;
ENTITY tous IS
PORT(
norme: in std_logic_vector (1 to 2);
clk,reset,data_in : IN STD_LOGIC;
data_out : OUT STD_LOGIC);
END tous;
ARCHITECTURE truc OF tous IS
COMPONENT gsm
PORT(
data_in : in std_logic;
data_out:out std_logic;
reset:in std_logic;
clk:in std_logic
);
END COMPONENT;
BEGIN
i1:gsm PORT MAP(clk=>clk,reset=>reset,data_in=>data_in,data_out=>data_out);
END truc;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
ENTITY gsm IS
PORT(data_in : in std_logic;
data_out:out std_logic;
reset:in std_logic;
clk:in std_logic
);
END gsm;
ARCHITECTURE gsm_arch OF gsm IS
-------code de gsm
end process;
END gsm_arch;
voila ce que je veux:: je veux faire un process qui ne fait l'instanciation de ce composant qu'aprés vérification de la norme "norme" , où dois-je mettre ce prcocessus?
27/05/2013, 17h39
#4
azad
Date d'inscription
février 2006
Localisation
Bastia
Âge
87
Messages
2 721
Re : programme principal
Hum....
J'ai mal compris. A mes yeux les fichiers .vhd se traitent comme des volumes physiques : ce sont des disques durs, un point c'est tout. Mais parlons-nous de la même chose ?
Aujourd'hui
A voir en vidéo sur Futura
28/05/2013, 11h58
#5
invitea0c4ca07
Date d'inscription
janvier 1970
Messages
59
Re : programme principal
SVP quelqu'un peut m'expliquer en UMTS, par quoi est déterminé le paramétre TTI,
quand TTI=10 ms ?
Quand TTI=20ms ?
quand TTI=40ms ?
quand TTI=80 ms ?
donnez un lien de document ou article c'est urgent
28/05/2013, 14h29
#6
azad
Date d'inscription
février 2006
Localisation
Bastia
Âge
87
Messages
2 721
Re : programme principal
Faudrait savoir ce que tu veux, tu ne sais pas répondre aux questions ?
28/05/2013, 15h16
#7
invitea0c4ca07
Date d'inscription
janvier 1970
Messages
59
Re : programme principal
merci azad j'ai résolu le probléme, mais j'ai besoin de comprendre le fonctionnement de la norme UMTS pour pouvoir faire un code pour son entrelaceur