FPGA : Lire un fichier à partir de la SDRAM
Répondre à la discussion
Affichage des résultats 1 à 12 sur 12

FPGA : Lire un fichier à partir de la SDRAM



  1. #1
    Scyllan

    FPGA : Lire un fichier à partir de la SDRAM


    ------

    Bonjour à tous,

    Je cherche à développer une IP en VHDL qui lit les données d'un fichier stocké dans la SDRAM du SoC. J'ai vu qu'on pouvait lire à partir de la SDRAM avec la fonction IORD mais j'aimerais le faire de façon matérielle. J'ai pensé à utiliser un DMA. Est ce que cela est possible ?

    Merci pour vos réponses !

    -----

  2. #2
    indri

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Bête question: mémoire externe ou interne au fpga!
    Si interne, pas sur que tu puisse l'utiliser sans passer par les ip, du moins je vois pas l'interet !
    Si externe, ben lecture du ds, gestion des signaux en conséquence etc..bref comme n'importe quel composant à gérer!
    Dernière modification par indri ; 24/07/2013 à 11h28.
    Là où va le vent...

  3. #3
    Scyllan

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Salut indri !
    C'est une mémoire externe. En fait, je ne vois pas comment gérer le fichier matériellement. On donne juste l'adresse du premier mot ? On n'a pas besoin de faire un "open" comme on le ferait en soft ?

  4. #4
    indri

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Woaw t'es pas en C hein mais en vhdl
    Tu dois gérer le composant au niveau le plus bas! Bref gérer chaque pin du dit composant en respectant la doc du composant..
    D'abord faut écrire une donnée( des 1 et des 0) à une adresse(des 1 et des 0), apres tu fera ton truk haut niveau en gérant des fichiers plus informatiques mais sans les base c'est pas la peine!
    Bon tu peux peut-etre trouver dans les ip ou sur le net, des codes qui gérent le bas niveau facilement...sais pas
    Là où va le vent...

  5. A voir en vidéo sur Futura
  6. #5
    Scyllan

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Oui, je sais bien mais on trouve aussi en VHDL des fonctions I/O avec le package textio. Ce qui me pose problème c'est le fichier. Je vois bien comment faire un transfert DMA à partir de la SDRAM mais je sais pas comment faire quand on veut lire un fichier. En gros, quelle adresse donner (juste celle du premier mot et on l'incrémente ?)...
    Merci pour ta réponse indri

  7. #6
    indri

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Jamais fait tout ca donc je peux plus t'aider mais..
    Dans ce cas là c'est un plus haut niveau et donc tu dois pouvoir trouver la doc qui va avec!
    Là où va le vent...

  8. #7
    Scyllan

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Okay ! Merci quand même =)
    Quelqu'un d'autre aurait une idée ? Je dois avouer que même en lisant la doc je suis un peu perdue :/

  9. #8
    albanxiii
    Modérateur

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Bonjour,

    Le package TEXTIO, comme son nom le suggère, sert à gérer les entrées/sorties au format texte, c'est à dire, sur votre disque dur.

    Il sert en simulation surtout (en tout cas, je ne m'en suis servi que là), pour aller lire des signaux d'entée et les appliquer à votre composant (lire dans un fichier texte donc, des signaux simulés que vous écrivez dedans à la main) et aussi aller écrire les sorties ou résultat de simulation dans un fichier de sortie.

    Donc, rien à voir avec des entrées/sorties de composants physiques.

    Pour aller lire votre SDRAM, il faut que vous génériez les signaux adéquats, tel que décrits dans la doc de la SDRAM (il y a des chronogrammes, avec tous les signaux de contrôles à appliquer, avec les timings qu'il fait respecter, etc.). Il faut aussi savoir ce que vous allez faire de ces données.... c'est pour les transmettre à un autre composant ? sous format parallèle ou série ?

    @+
    Not only is it not right, it's not even wrong!

  10. #9
    jiherve

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Bonsoir,
    Quel FPGA, quel SOC ?
    Mais il faut en effet une IP qui réalise le contrôleur SDRAM (ce n'est pas très difficile à faire mais il existe plein de code libre accessible) et ensuite un automate de lecture. Si c'est un SOC je présume qu'il y a aussi un processeur (IP lui aussi) embarqué donc il pourrait être utilisé pour çà et là cela se résumerait à du code en C.
    JR
    l'électronique c'est pas du vaudou!

  11. #10
    inoxxam

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Salut,
    Autant que je sache, textio n'offre pas d'éléments synthétisables, et ne sert que pour la simulation.
    Comment lire un fichier sur une mémoire? C'est tout un sujet. Un fichier n'est qu'une vue d'esprit. En gros, c'est constitué d'un entête qui donne tout un tas d'infos et du contenu lui même. Déjà il faut ce qu'on appelle un système de fichier (FAT, NTFS...). C'est une norme qui décrit comment organiser la mémoire et te permet de retrouver tes petits dans la mémoire.
    Bien que ce ne soit pas impossible, je n'ai jamais vu d'implémentation hardware de systèmes de fichiers. Ce qui est courant, c'est de récupérer les informations sur le fichier (emplacement(s), taille ...) en soft avec des IORD (en supposant du Altera) et puis après de transférer le contenu du fichier à l'aide d'un DMA. Ce n'est pas compliqué en soi.

  12. #11
    Scyllan

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Merci à tous pour vos réponses

    Alors, pour le FPGA c'est un Cyclone IV d'Altera. J'y implémente un SoC avec un proc Nios II, des controleurs SDRAM et flash Altera, et d'autres IP... Les données seront transmises sur un bus (32 bits)

    inoxxam, quand tu parles de récupérer les infos sur le fichier avec des IORD, comment ça marche ?

    edit : je charge un OS uClinux sur la flash, y a donc un FS dessus. Ce serait préférable de mettre mon fichier de données dessus ?
    Dernière modification par Scyllan ; 25/07/2013 à 10h01.

  13. #12
    jiherve

    Re : FPGA : Lire un fichier à partir de la SDRAM

    Bonsoir,
    ben oui, puisque tu disposes de tout ce qu'il faut!
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Problème de gestion de fichier sur carte FPGA
    Par invitefdead068 dans le forum Logiciel - Software - Open Source
    Réponses: 4
    Dernier message: 06/05/2010, 12h52
  2. FPGA - FX2 CYPRESS CY7C68013A : Transfert de fichier par USB
    Par invite3f7b4786 dans le forum Électronique
    Réponses: 2
    Dernier message: 17/03/2009, 10h34
  3. Lire un fichier .BIN avec Matlab converti d'un fichier .WAV
    Par invite45d1502e dans le forum Logiciel - Software - Open Source
    Réponses: 2
    Dernier message: 03/05/2008, 16h13
  4. lire un fichier
    Par invite3ff396ad dans le forum Logiciel - Software - Open Source
    Réponses: 3
    Dernier message: 16/02/2008, 22h41
  5. envoie d'un signal a partir du FPGA
    Par invite85cbfcba dans le forum Électronique
    Réponses: 4
    Dernier message: 31/05/2007, 15h52
Découvrez nos comparatifs produits sur l'informatique et les technologies.