vhdl std_logic_vector (X downto 0) ???
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

vhdl std_logic_vector (X downto 0) ???



  1. #1
    inviteb4ec01d1

    vhdl std_logic_vector (X downto 0) ???


    ------

    bonjour la communauté

    jai une fonction mathematique qui depend du temps

    Y(t)= (blablablabalblabalabla (Y (t-1))

    qui apelle le resultat precedent de cette meme fonction
    le hic cest que je ne peut pas dire qu'un vecteur de taille 5 = un vecteur taille 5 facteur d'un autre vecteur

    d'ou ma question
    j'aurais voulu savoir si il etait possible de ne pas definir un :" std_logic_vector
    ou un moyen alternatif a ce probleme

    merci d'avance ^^

    -----

  2. #2
    albanxiii
    Modérateur

    Re : vhdl std_logic_vector (X downto 0) ???

    Bonjour,

    Pouvez-vous être plus précis ?

    @+
    Not only is it not right, it's not even wrong!

  3. #3
    jiherve

    Re : vhdl std_logic_vector (X downto 0) ???

    Bonjour,
    En Vhdl on peut manipuler autre chose que des std_logic_vector, pour des calculs il existe "integer" et "signed", "unsigned".
    Mais effectivement la question est nebuleuse.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. commande d'ascenseur avec VHDL la carte vhdl
    Par invite2b1f3b55 dans le forum Électronique
    Réponses: 6
    Dernier message: 02/06/2014, 02h41
  2. hexadecimal to std_logic_vector
    Par invitef7520bd9 dans le forum Électronique
    Réponses: 0
    Dernier message: 30/12/2013, 15h20
  3. signed unsigned std_logic_vector vhdl
    Par invite938b5933 dans le forum Électronique
    Réponses: 1
    Dernier message: 13/07/2010, 20h26
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...