Bonjour,
J'essais de faire fonctionner l'exemple 71 VGA Stripes du livre Digilent Design Using Digilent FPGA Boards sur une NEXYS 2.
Sur ce source vga_stripes_top.vhd :
Il me dit :Code:library IEEE; use IEEE.STD_LOGIC_1164.all; entity vga_stripes_top is port( mclk : in STD_LOGIC; btn : in STD_LOGIC_VECTOR(3 downto 0); hsync : out STD_LOGIC; vsync : out STD_LOGIC; red : out std_logic_vector(2 downto 0); green : out std_logic_vector(2 downto 0); blue : out std_logic_vector(1 downto 0) ); end vga_stripes_top; --}} End of automatically maintained section architecture vga_stripes_top of vga_stripes_top is signal clr, c1k25, vidon: std_logic; signal hc, vc: std_logic_vector(9 downto 0); begin clr <= btn(3); Ul : clkdiv port map( mclk => mclk, clr => clr, c1k25 => c1k25); U2 : vga_640x480 port map( clk => clk25, clr => clr, hsync => hsync, veync => vsync, hc => he, vc => vc, vidon => vidon); U3 : vga_stripes port map( v1don => vidon, be => he, vc => vc, red => red, green => green, blue => blue); end vga_stripes_top;
# File: F:\My_Designs\vga_components\v ga_components\src\vga_stripes_ top.vhd
# Compile Entity "vga_stripes_top"
# Compile Architecture "vga_stripes_top" of Entity "vga_stripes_top"
# Error: COMP96_0134: vga_stripes_top.vhd : (26, 6): Cannot find component declaration.
# Error: COMP96_0134: vga_stripes_top.vhd : (30, 6): Cannot find component declaration.
# Error: COMP96_0134: vga_stripes_top.vhd : (34, 6): Cannot find component declaration.
# Compile failure 3 Errors 0 Warnings Analysis time : 0.0 [ms]
dans le bouquin il y a une ligne :
use work.vga_components.all;
qui me génère une erreur si je la mets:
# Error: COMP96_0055: vga_stripes_top.vhd : (3, 10): Cannot find referenced context element.
Le projet est bien vga_components
j'ai bien un fichier vhd par composant clkdiv, vga_640x480 et vga_stripes.
Je vois bien les fichiers dans la liste associée à la librairie,
J'utilise Active-HDL pour le projet.
Ça doit être trivial mais je ne vois pas...
Je suis preneur d'idées,
Hervé
-----