[Programmation] programmation avec le langage VHDL
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

programmation avec le langage VHDL



  1. #1
    hamza_lahlou

    programmation avec le langage VHDL


    ------

    Salut,
    je suis étudiant en microélectronique, j'ai un exo qui est le suivant:
    écrire un programme VHDL modélisant une mémoire ram ayant 16 mots de 8 bits
    la ram dispose d'un bus de données bidirectionnel a 3 états de bits, d'un bus d'adresse de 4 bits, d'une ligne d'écriture et une ligne de lecture....
    ______________________________ ______________________________ ______________________________ _________________________
    solution :
    Code:
    entity mémoire_ent is 
     port( 
          rd    : in std_logic;
          wr    : in std_logic;
          adr   : in std_logic-vector(7 donwnto 0);
          dara  : inout std_logic_vector (3 downto 0)
          );
     end mémoire_ent; 
    
    architecture mémoire_arch of mémoire_ent is 
     begin
        process (rd,wr)
           type TRam is array (0 to 15) of std_logic_vector (7 donwto0 ); --??
           variable Ram :TRam; --??
           variable adresse :integer ;--?
     begin 
          adresse := conv_integer(adr);--?
          if rd ='1' then 
             data <= Ram(adresse );
          else
            if wr ='1' tehn 
               data <=(others => 'z'); 
            end if;
          end if; 
        end process;
    end mémoire_arch;
    quelque'un peut me faire des commentaire sur ce programme ?? behh l'entity est simple mais la ou il y a des points
    d'interrogations j'ai pas compris
    merci d'avance

    -----
    Dernière modification par Jack ; 17/02/2016 à 19h43. Motif: Balises code

  2. #2
    jiherve

    Re : programmation avec le langage VHDL

    Bonsoir,
    Bon déjà les déclarations de adr et data sont inversées.
    type TRam is array (0 to 15) of std_logic_vector (7 donwto 0 ); cette ligne déclare un type non standard construit à partir d'un objet connu std_logic_vector
    c'est donc un tableau contenant 16 octets.
    variable Ram :TRam; ici déclaration d'une variable du type précédent il eut mieux valut déclarer un signal car une variable (hormis celle déclarées "shared " mais çà c'est vraiment pas pour les débutants) n'est pas visible en dehors du process qui la déclare.
    variable adresse :integer ; declaration d'une variable permettant l’alléger l’écriture car sans cette déclaration on devrait écrire :
    data <= Ram(conv_integer(adr) );
    enfin j'espere que tu as bien compris la différence entre un signal et une variable car çà c'est fondamental en VHDL.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    Jack
    Modérateur

    Re : programmation avec le langage VHDL

    Ca serait bien que tu mettes les balises code tout seul. Je ne vais pas devoir te les mettre à chaque fois.

  4. #4
    hamza_lahlou

    Re : programmation avec le langage VHDL

    pouvez vous m'envoyer quelques cours ou bien liens sur les mémoire (vhdl) pour avoir une idée.
    merci

  5. A voir en vidéo sur Futura
  6. #5
    jiherve

    Re : programmation avec le langage VHDL

    Bonsoir
    Tu tapes VHDL tutorial ou tutoriel et cela tombe comme à Gravelotte.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. [Numérique] mémoire ram avec le langage VHDL
    Par hamza_lahlou dans le forum Électronique
    Réponses: 3
    Dernier message: 27/01/2016, 12h30
  2. Réponses: 15
    Dernier message: 19/07/2012, 22h53
  3. langage VHDL
    Par invite8b4d9c4a dans le forum Électronique
    Réponses: 1
    Dernier message: 24/11/2010, 09h15
  4. help me please programmation en langage c avec pic 16f677
    Par invite3f9bcd11 dans le forum Électronique
    Réponses: 3
    Dernier message: 04/06/2009, 10h34
  5. langage vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 1
    Dernier message: 15/12/2007, 07h51
Découvrez nos comparatifs produits sur l'informatique et les technologies.