Bonjour je dois écrire un code en vhdl
voici mon test benchCode:-- Déclaration des paquetages utiles pour le module library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --Description externe entity part1 is port ( SW : in std_logic_vector (17 downto 0); LEDR : out std_logic_vector (17 downto 0)); end part1; --Description comportementale architecture rtl of part1 is --signal SW : std_logic_vector (17 downto 0); -- signal LEDR: std_logic_vector (1 to 17); begin LEDR(17)<= SW(17); LEDR(16)<= SW(16); LEDR(15)<= SW(15); LEDR(14)<= SW(14); LEDR(13)<= SW(13); LEDR(12)<= SW(12); LEDR(11)<= SW(11); LEDR(10)<= SW(10); LEDR(09)<= SW(09); LEDR(08)<= SW(08); LEDR(07)<= SW(07); LEDR(06)<= SW(06); LEDR(05)<= SW(05); LEDR(04)<= SW(04); LEDR(03)<= SW(03); LEDR(02)<= SW(02); LEDR(01)<= SW(01); LEDR(00)<= SW(00);
Est ce que vous auriez une idée ? Merci pour votre aide !Code:-- Déclaration des paquetages utiles pour le module library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --Description externe entity test_part1 is end test_part1; --Description comportementale architecture rtl of test_part1 is --Description du composant part1 qui sera utilis� dans l'architecture de test component part1 port( SW : in std_logic_vector(17 downto 0); LEDR : out std_logic_vector(17 downto 0)); end component; --Signaux internes de l'architecture de test signal SW : std_logic_vector(17 downto 0); signal LEDR : std_logic_vector(17 downto 0); begin i1 : entity work.part1 port map(SW,LEDR); SW(1 )<= '0'; --after 20 ns, "1" after 50 ns; SW(2 )<= '0'; --after 23 ns, "1" after 50 ns; SW(3 )<= '0'; --after 25 ns, "1" after 50 ns; SW(4 )<= '0' ;--after 20 ns, "1" after 50 ns; SW(5 )<= '0';-- after 26 ns, "1" after 50 ns; SW(6 )<= '0';-- after 20 ns, "1" after 50 ns; SW(7 )<= '0' ;--after 20 ns, "1" after 50 ns; SW(8 )<= '0'; --after 20 ns, "1" after 50 ns; SW(9 )<= '0' ;--after 20 ns, "1" after 50 ns; SW(10) <= '0'; --after 20 ns, "1" after 50 ns; SW(11) <= '0'; --after 20 ns, "1" after 50 ns; SW(12) <= '0' ;--after 20 ns, "1" after 50 ns; SW(13 )<= '0' ;--after 20 ns, "1" after 50 ns; SW(14 )<= '0'; --after 20 ns, "1" after 50 ns; SW(15) <= '0';-- after 20 ns, "1" after 50 ns; SW(16 )<= '0';-- after 20 ns, "1" after 50 ns; SW(17) <= '1'; --;after 20 ns, "1" after 50 ns End rtl ; Le code compile sous quartus mais Modelsim semble bloqué avec des erreurs ** Error: (vsim-SDF-3250) part1_vhd.sdo(102): Failed to find INSTANCE 'asynch_inst'. # # ** Error: (vsim-SDF-3250) part1_vhd.sdo(111): Failed to find INSTANCE '\SW[8]~I\'. # # ** Error: (vsim-SDF-3250) part1_vhd.sdo(111): Failed to find INSTANCE 'asynch_inst'. # # ** Error: (vsim-SDF-3250) part1_vhd.sdo(120): Failed to find INSTANCE '\SW[9]~I\'. # # ** Error: (vsim-SDF-3250) part1_vhd.sdo(120): Failed to find INSTANCE 'asynch_inst'. # # ** Error: (vsim-SDF-3894) : Errors occured in reading and resolving instances from compiled SDF file(s). # # Error loading design # Error: Error loading design # Pausing macro execution # MACRO ./part1_run_msim_gate_vhdl.do PAUSED at line 12
-----