[Exercices] Conversion std_logic_vector en décimal vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Conversion std_logic_vector en décimal vhdl



  1. #1
    Momo54500

    Conversion std_logic_vector en décimal vhdl


    ------

    Bonjour à tous,

    j'étais en train de m'exercer sur l'exercice suivant :

    Exo2.PNG

    Et du coup voici l'étude théorique du prof :

    Exo3.PNG
    Exo4.PNG

    Tout d'abord je comprends pas au niveau de l'ASM chart pk il a pas mis la condition R<Vref après A1 car on calcule le nombre d'échantillons entre R>Vref et quand R repasse en dessous de Vref.

    Deuxième question : Comment pouvons nous convertir un nombre binaire (std_logic_vector) en nombre décimal afin d'obtenir Nb ?

    Merci à vous.

    -----

  2. #2
    albanxiii
    Modérateur

    Re : Conversion std_logic_vector en décimal vhdl

    Bonjour,

    Citation Envoyé par Momo54500 Voir le message
    Deuxième question : Comment pouvons nous convertir un nombre binaire (std_logic_vector) en nombre décimal afin d'obtenir Nb ?
    Tout est là : https://www.csee.umbc.edu/portal/hel...meric_std.vhdl

    Code:
    signal vec : std_logic_vector(15 downto 0);
    signal int : integer range 0 to 2**16-1;
    ...
    int <= to_integer(unsigned(vec));
    Not only is it not right, it's not even wrong!

Discussions similaires

  1. [Programmation] conversion binaire en décimal
    Par Thomas8350 dans le forum Électronique
    Réponses: 41
    Dernier message: 16/05/2016, 12h24
  2. vhdl std_logic_vector (X downto 0) ???
    Par yoann30 dans le forum Électronique
    Réponses: 2
    Dernier message: 21/04/2015, 12h03
  3. signed unsigned std_logic_vector vhdl
    Par invite938b5933 dans le forum Électronique
    Réponses: 1
    Dernier message: 13/07/2010, 20h26
  4. Code VHDL pour conversion du décimal au binaire
    Par invitea9f621f9 dans le forum Électronique
    Réponses: 0
    Dernier message: 25/04/2010, 14h03
  5. Conversion de binaire à décimal
    Par invitee50a1bfa dans le forum Mathématiques du supérieur
    Réponses: 4
    Dernier message: 09/02/2008, 22h11
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...