Commande PWM du Moteur DC sous FPGA
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Commande PWM du Moteur DC sous FPGA



  1. #1
    invitec52c04f0

    Commande PWM du Moteur DC sous FPGA


    ------

    Avant toue chose je serais reconnaissant si j'aurai une réponse de mon problème et je tiens à remercier tous qui vont participer par leurs idées et conseils.
    donc voila ce qui m'amène,

    le projet que je souhaite vivement réaliser s'agit d'une commande d'un moteur à courant continu par la modulation à largeur d'impulsion "PWM" à l'aide d'un circuit programmable FPGA,
    Mon problème à moi c'est que j'ai pas trouver depuis longtemps et jusqu'à ce moment le schéma électrique et le schéma de puissance ainsi son fonctionnement, donc un coup de main de votre part me serrais bien utile
    Merci.

    -----

  2. #2
    invite92d4510f

    Re : Commande PWM du Moteur DC sous FPGA

    bonjour, et bienvenue sur futura,

    Ca manque beaucoup de précision dans tout les domaines... étoffe un peu ton explication.

  3. #3
    invitec52c04f0

    Re : Commande PWM du Moteur DC sous FPGA

    D'abord je te remercie de m'avoir répondu,
    le projet consiste à commander un moteur DC en variant sa vitesse. En conduisant le moteur avec des impulsions courtes, ces impulsions varient dans le temps pour changer la vitesse du moteur donc
    plus les impulsions sont longues, plus les tours de moteur sont rapides, et vice versa, plus précisément la modulation à Largeur d'impulsion "MLI" fournit un signal logique rectangulaire. Toute l’information réside dans le rapport cyclique, celui désignant la durée de l’état haut durant une période T, la MLI fait varier le temps de l'état haut (que l'on appellera th). On change ainsi le rapport cyclique (le rapport cyclique s'exprime par th/T et peut varier de 0 à 1)Cette variation induit une variation de la valeur moyenne du signal.
    la technique "MLI" est implémentée sur le FPGA vu que cette dernière n’est pas capable de fournir une puissance nécessaire à la commande du moteur, on fait appel à l’électronique de puissance autrement dans le cas qu'on a " la MLI". pour les FPGA sont des composants qu'on peut les reprogrammer entièrement par le langage VHDL, ils ne possédent pas un programme résidant, ils sont constitués de deux cellules de base:
    •les cellules d'entrées/sorties appelés IOB (input output bloc),
    •les cellules logiques appelées CLB (configurable logic bloc). Ces différentes cellules sont reliées entre elles par un réseau d'interconnexions configurable.
    l'intérêt de la FPGA figure à sa simplicité en pratique qu'un microcontrôleur .

    voila j'espère que j'ai bien détaillé ..

  4. #4
    invite047655f2

    Re : Commande PWM du Moteur DC sous FPGA

    bonsoir ;
    j'ai un mini projet qui s'intitule " pilotage d'une mini-Drone via une FPGA " , c 'est dire je dois commander les 4 rotor des 4 MCC par FPGA .
    si klk un peut m'aidé par des documents sur la commande des MCC par FPGA

  5. A voir en vidéo sur Futura

Discussions similaires

  1. frequence PWM pour commande moteur
    Par alainav1 dans le forum Électronique
    Réponses: 21
    Dernier message: 14/07/2012, 18h25
  2. Quelle fréquence pour une commande moteur en PWM?
    Par thundertom dans le forum Électronique
    Réponses: 5
    Dernier message: 04/05/2009, 17h32
  3. Moteur DC : commande en PWM ou commande linéaire?
    Par invite5735c9fd dans le forum Électronique
    Réponses: 8
    Dernier message: 03/03/2009, 11h01
  4. commande moteur par PWM avec optocoupleur
    Par invite6bb8659e dans le forum Électronique
    Réponses: 17
    Dernier message: 06/06/2007, 19h42
  5. Commande moteur par PWM
    Par lignux dans le forum Électronique
    Réponses: 0
    Dernier message: 22/11/2006, 11h59
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...