Cours VHDL ?
Répondre à la discussion
Affichage des résultats 1 à 13 sur 13

Cours VHDL ?



  1. #1
    invite7440ef0a

    Cours VHDL ?


    ------

    Bonjour tout le monde, je suis étudiant en Systèmes Embarqués, et je cherches des site qui proposent des contenu clairs et avec des applications pratiques du VHDL sur des circuits FPGA, initiation au VHDL, et des ressources pour l'embarqués, je vous serais reconnaissant si quelqu'un peu me montrer quelques adresses. Merci d'avance

    -----

  2. #2
    Jack
    Modérateur

    Re : Cours VHDL ?

    Suis ce lien, il y a une rubrique pleine de doc sur ce que tu cherches.

    A+

  3. #3
    invite7a39c3be

    Re : Cours VHDL ?

    J'allais te donner le même lien que Jack il y a 1-2 cours de base vraiment bien fait. Je te souhaite bonne chance Le VHDL c'est performant mais pas facile a mettre en oeuvre ! Tout un art ! Perso j'ai vite laisser tomber.

  4. #4
    invite7440ef0a

    Re : Cours VHDL ?

    Merci beaucoup ... En fait ont fait des TPs avec, on travaille sur une carte programmable et j'aime bien. Merci encore @+

  5. A voir en vidéo sur Futura
  6. #5
    invite7a39c3be

    Re : Cours VHDL ?

    Un conseil, même si le code ressemble a un programmation sur microcontrolleur, il n'en n'est rien. C'est vraiment totalement différant. Chaque ligne de code que tu met c'est pas une instruction qui sera effectuer par le processeur mais c'est chaque fois un "mini-processeur" qui fait son travail dans son coin. Si tu as l'habitude de faire du C, C++, java... oublie tout :P

  7. #6
    invite7440ef0a

    Re : Cours VHDL ?

    En fait oui c'est vrai j'ai déjà programmé en C,C++,Java ... et au début j'ai eu du mal à comprendre comment le code peut il s'exécuter à la fois, et pas instruction après une autre, puisque c'est du temps réel. Merci pour le conseil A+

  8. #7
    Jack
    Modérateur

    Re : Cours VHDL ?

    Il faut voir ça comme de la programmation de tâches parallèles ou concurrentes en fait.

    A+

  9. #8
    jiherve

    Re : Cours VHDL ?

    Bonsoir,
    en VHDL il y a à la fois du séquentiel et du //.
    Le séquentiel est contenu dans un seul process, le // entre des process.
    Cela n'a effectivement rien à voir avec le soft standard.
    Enfin ce que le VHDL décrit c'est in fine du hard et donc ce n'est pas aussi idéal que le langage le laisse croire, le temps, le vrai, la physique, la vraie ont leur mot à dire.
    Si tu as des questions je pense pouvoir t'aider.
    JR
    l'électronique c'est pas du vaudou!

  10. #9
    stefjm

    Re : Cours VHDL ?

    Bonsoir,
    Je suis d'accord avec jiherve pour l'ensemble.
    Simple précision :
    Citation Envoyé par jiherve Voir le message
    [...]Le séquentiel est contenu dans un seul process, le // entre des process.[...]
    Et seule la description est séquentielle et non l'exécution, ce qui trouble beaucoup de programmeurs.

    Le VHDL est un langage de description hard et non de programmation soft.

    En français, cela donne des trucs vaseux (car non correct d'un point de vu grammatical) du genre composant programmable (VHDL) contre composant programmé (Microprocesseur)

    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  11. #10
    jiherve

    Re : Cours VHDL ?

    Bonsoir,
    Et seule la description est séquentielle et non l'exécution, ce qui trouble beaucoup de programmeurs.
    c'est vrai pour des signaux différents par contre sur un même signal c'est un peu différent:
    a <= '0';
    if condition = vraie then
    a <= '1';
    end if;
    donne au final a = '1' si la condition est vraie
    alors que :

    if condition = vraie then
    a <= '1';
    end if;
    a <= '0';
    donne toujours a = '0'
    donc là c'est séquentiel.
    JR
    l'électronique c'est pas du vaudou!

  12. #11
    invite7440ef0a

    Re : Cours VHDL ?

    Merci pour vitre aide, pour l'instant je m'initie, mais d'ici la fin du semestre j'aurai un projet à faire, et aussi maintenant des TPs, alors je vais avoir besoin de votre aide. Merci beaucoup et à plus tard

  13. #12
    stefjm

    Re : Cours VHDL ?

    Citation Envoyé par jiherve Voir le message
    Bonsoir,

    c'est vrai pour des signaux différents par contre sur un même signal c'est un peu différent:
    a <= '0';
    if condition = vraie then
    a <= '1';
    end if;
    donne au final a = '1' si la condition est vraie
    alors que :

    if condition = vraie then
    a <= '1';
    end if;
    a <= '0';
    donne toujours a = '0'
    donc là c'est séquentiel.
    JR
    Bonjour,

    Je ne comprend pas ce que tu cherches à montrer.

    Dans les deux cas, la description est séquentielle, et a prend la dernière valeur assignée.

    Si l'execution l'était aussi, le signal a prendrait transitoirement (?) une valeur, puis une autre. Ce qui n'est pas le cas ici, contrairement au C par exemple.

    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  14. #13
    jiherve

    Re : Cours VHDL ?

    Citation Envoyé par stefjm Voir le message
    Bonjour,

    Je ne comprend pas ce que tu cherches à montrer.

    Dans les deux cas, la description est séquentielle, et a prend la dernière valeur assignée.

    Si l'execution l'était aussi, le signal a prendrait transitoirement (?) une valeur, puis une autre. Ce qui n'est pas le cas ici, contrairement au C par exemple.

    Cordialement.
    Ce que je voulais dire c'est que dans ce cas c'est l’évaluation qui est séquentielle et donc dépend de l’écriture, rien de plus.
    ce qui ne me semblait pas clair dans ta réponse précédente.
    Ne pas perdre de vue que la fonction d'assignation <= introduit un "delta".

    JR
    Dernière modification par jiherve ; 12/10/2011 à 20h07.
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  2. Ou trouver des cours d'électronique num (vhdl, fpga)
    Par invitee77dafdd dans le forum Électronique
    Réponses: 11
    Dernier message: 24/06/2008, 20h45
  3. vhdl
    Par invite6fc91cc2 dans le forum Électronique
    Réponses: 1
    Dernier message: 03/01/2008, 23h52
  4. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...