Mesure du retard de 2 clock de 160Mhz avec une FPGA Virtex-4
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Mesure du retard de 2 clock de 160Mhz avec une FPGA Virtex-4



  1. #1
    Mikaeltrigo

    Mesure du retard de 2 clock de 160Mhz avec une FPGA Virtex-4


    ------

    Bonjour,
    Je suis entrain de faire un banc de test pour faire une anaylase de transmission haute vitesse.
    Une Fpga virtex-4 gére tout les tests et récupére les donnée.
    Je dois mesure des clock de 160Mhz qui traverse des transcieiver and so on que je récupère sur 24 entrée de la FPGA en LVDS, et j'aimerais déterminer le déphase entre chaque entrée ( chaque clock parcourent un chemin plus long)
    Je possède un clock interne de 125Mhz, j'utilise pour l'instant un second clock de 200Mhz(Ipcore)

    Quelqu'un aurait une idée comment je pourrais mesurer le retard entre ces 2 clock vu qu'il travaille a une fréquence rapide (DCM ? ou autre composant Xlinx)( le retard est de l'orde de 100ps a 2ns )

    P.s Pour remettre en phase mes signal je vais utiliser le composant IDLAY mais j'aimerais pouvoir mesurer ce retard le lus précisément possible.
    Merci infiniment

    -----

  2. #2
    jiherve

    Re : Mesure du retard de 2 clock de 160Mhz avec une FPGA Virtex-4

    Bonjour,
    Je ne suis pas sur qu'il existe une solution à ton problème, ou tout du moins je ne l'imagine pas de prime abord surtout en numérique pur. Par contre si les retards sont dus uniquement à du routage l'utilisation des outils disponibles en CAO circuit imprimés permettent de calculer ces retards.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. FPGA virtex 4
    Par invite69dc608c dans le forum Électronique
    Réponses: 4
    Dernier message: 26/05/2008, 19h15
  2. Contrôler une caméra firewire avec fpga
    Par invited58ee606 dans le forum Électronique
    Réponses: 4
    Dernier message: 17/09/2007, 18h00
  3. fpga xilinx virtex 2 pro
    Par invite426a23a9 dans le forum Électronique
    Réponses: 4
    Dernier message: 08/08/2007, 09h35
  4. FPGA carte Virtex 4 FX-POWER PC
    Par invite232dbe64 dans le forum Électronique
    Réponses: 0
    Dernier message: 26/01/2007, 14h16
  5. Probleme de clock sur FPGA spartan3e xilinx
    Par invitec117284e dans le forum Matériel - Hardware
    Réponses: 2
    Dernier message: 27/03/2006, 10h45
Découvrez nos comparatifs produits sur l'informatique et les technologies.