code interleaver avec VHDL
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

code interleaver avec VHDL



  1. #1
    invite369d2cfd

    code interleaver avec VHDL


    ------

    Bonjour à tous,
    Voici l'entrelaceur que je cherche à implémenter en VHDL, c'est un entrelaceur convolutionnel fonctionne comme suit

    Il y a trois branches: sur les 2 dernieres il y a plusieurs "memoires"..

    En entrée on vient successivement écrire sur chaque ligne un nombre (à chaque coup de clock)
    De même en sortie, on lit succesivement chaque ligne...

    Au depart les mémoires sont vides..
    A ck1 (coup de clock 1) on ecrit le premier nombre sur la premiere ligne qu'on lit en même temps -> sortie =1
    A ck2 on ecrit 2 sur la première mémoire de la ligne 2 et on lit la dernières mémoires (qui est vide) => 0 (On decale ensuite(ou juste avant le prochain passage) chaque mémoire, le "2" bouge")
    A ck3 idem mais sur troiseme ligne (3 est dans la première "mémoire" et 0 dans dernière) => sortie =0
    A ck4 on revient à la première ligne, ou on récrit la sortie= entrée=> sortie=4

    Et ainsi de suite...a chaque passage de ligne on decale les mémoires, il faut donc plusieurs tours avant que la première valeur ecrite dans les mémoires d'un ligne sorte...
    Nom : inter.png
Affichages : 71
Taille : 18,2 Ko
    SVP quel qu'un peut m'aider de faire le code avec VHDL
    merciii

    -----

  2. #2
    jiherve

    Re : code interleaver avec VHDL

    Bonsoir
    Sujet deja abordé ici faire une recherche, les sujet VHDL n'y sont pas légions.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. code vhdl de l'ALU
    Par invite6ebbaf13 dans le forum Électronique
    Réponses: 2
    Dernier message: 31/10/2012, 12h27
  2. code vhdl
    Par deyni dans le forum Électronique
    Réponses: 6
    Dernier message: 17/02/2012, 14h53
  3. Problème code VHDL
    Par mortaurat dans le forum Électronique
    Réponses: 2
    Dernier message: 18/01/2012, 22h34
  4. Pb code vhdl!!!
    Par invitedf5f6b15 dans le forum Électronique
    Réponses: 14
    Dernier message: 29/05/2008, 23h26
  5. Simulation code vhdl
    Par invite232dbe64 dans le forum Électronique
    Réponses: 4
    Dernier message: 30/11/2006, 09h35
Découvrez nos comparatifs produits sur l'informatique et les technologies.