code vhdl de l'ALU
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

code vhdl de l'ALU



  1. #1
    invite6ebbaf13

    code vhdl de l'ALU


    ------

    Bonjour,

    je dois réaliser un programme vhdl de l'ALU avec Quartus ,j'ai fait deux blocs une de l'unité arithmétque,et l'autre de l'unité logique dans le mème dossier pour pouvoir les appeler car je vais utiliser la description structurelle,mais le problème je ne sais pas quel bloc je dois ajouter,est ce le multiplexeur ou bien le comparateur ou bien les deux?

    Merci d'avance

    -----

  2. #2
    invite39886733

    Re : code vhdl de l'ALU

    tu peut refaire la meme en faisant 3 ou 4 phrases

  3. #3
    invite6ebbaf13

    Re : code vhdl de l'ALU

    j'ai pas compris ,tu peut expliquer davantage

Discussions similaires

  1. code vhdl
    Par deyni dans le forum Électronique
    Réponses: 6
    Dernier message: 17/02/2012, 14h53
  2. Problème code VHDL
    Par mortaurat dans le forum Électronique
    Réponses: 2
    Dernier message: 18/01/2012, 22h34
  3. Erreur code VHDL (process ?)
    Par invitebe80985c dans le forum Électronique
    Réponses: 3
    Dernier message: 28/01/2011, 22h29
  4. Pb code vhdl!!!
    Par invitedf5f6b15 dans le forum Électronique
    Réponses: 14
    Dernier message: 29/05/2008, 23h26
  5. Simulation code vhdl
    Par invite232dbe64 dans le forum Électronique
    Réponses: 4
    Dernier message: 30/11/2006, 09h35
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...