VHDL Et la fonction mémoire
Répondre à la discussion
Affichage des résultats 1 à 11 sur 11

VHDL Et la fonction mémoire



  1. #1
    invitee92b8fde

    VHDL Et la fonction mémoire


    ------

    Bonjour à tous,

    je suis entrain de faire un programme pour la gestion d'un ascensseur, j'ai besoin de programmé ne fonction mémoire qui enregistre l'étage de l'ascensseur et qui met cette valeur en entré à mon avis ça sera avec des bascules D mais je sais pas comment procédé comme je connais pas le language quelqu'un pourrai m'aider

    Merci à vous d'avance

    a bientot

    -----

  2. #2
    indri

    Re : VHDL Et la fonction mémoire

    une variable ou un signal ca suffit pas?
    Explique un peu mieux ce que tu veux faire
    Là où va le vent...

  3. #3
    invitee92b8fde

    Cool Re : VHDL Et la fonction mémoire

    je veux écrire une fonction dansle VHDL qui récupére l'état actuel de l'ascensseur c'est à dire l'étage ou il se situe et la stock comme une entré afin de savoir si l'ascensseur va effectué une montée ou une descente enfonction de la variiable stocké pr cette fonction et le bouton ou se situe l'étage appelé merci à toi mec si je suis toujours pas clair dis le moi

  4. #4
    stefjm

    Re : VHDL Et la fonction mémoire

    Citation Envoyé par indri Voir le message
    une variable ou un signal ca suffit pas?
    Ben non. Un signal ne mémorise rien du tout, il faut une bascule.

    Donc en VHDL, une affectation dans un process réveillé par une horloge.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  5. A voir en vidéo sur Futura
  6. #5
    indri

    Re : VHDL Et la fonction mémoire

    L'information de l'étage actuel arrive comment sur le fpga? Au passage les boutons de chaque étage?
    A priori un signal (ex: std_logic_vector) devrait suffire..

    C'est assez vague, tu devrais mettre le hard..et la définition de ton entité pour qu'on y voit plus clair
    Là où va le vent...

  7. #6
    indri

    Re : VHDL Et la fonction mémoire

    Citation Envoyé par stefjm Voir le message
    Ben non. Un signal ne mémorise rien du tout, il faut une bascule.

    Donc en VHDL, une affectation dans un process réveillé par une horloge.
    Un signal vhdlement parlant..soit l'équivalent d'une variable globale affecté dans un process clocké bien entendu!
    Dernière modification par indri ; 15/04/2013 à 14h11.
    Là où va le vent...

  8. #7
    stefjm

    Re : VHDL Et la fonction mémoire

    Vu que le VHDL permet aussi le parallelisme et le combinatoire, c'est nécessaire de préciser.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  9. #8
    invitee92b8fde

    Re : VHDL Et la fonction mémoire

    Pour le moment je m'en fou du hard mais je veux déja que ça fonctionne sur la simulation donc si tu peux me guidé sur la procédure en générale j'ai surtt jamais travailler avec le VHDL et je dois rendre quelque chose

  10. #9
    indri

    Re : VHDL Et la fonction mémoire

    Bon ton problème reste assez flou pour moi..
    Tu peux mettre un signal dans la définition de ton entité de quelques bits (selon le nombre d'étage) et le faire bouger au niveau de la simu...
    Et si tu as besoin de le mémoriser, tu le "stock" dans un autre signaux dans un process clocké

    Ce qui donnerai (attention surement des erreurs de syntax, plus tapé une ligne depuis longtemps^^)

    Code:
    entity acsenceur is
    (
       ...
       etage : in std_logic_vector(4 downto 0);
     ...
    )
    
    ...
    
    signal s_etage:std_logic_vector(4 downto 0);
    
    process(clk)
    (
        begin
       if (rising_edge(clk)) then
          if (condition de la mémorisation de l'état) then
              s_etage<=etage;
    ...
    Là où va le vent...

  11. #10
    invitee92b8fde

    Re : VHDL Et la fonction mémoire

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
    use ieee.numeric_std.all;

    entity ascenceur is

    port(
    clock: in std_logic;
    CMD_Mont: in std_logic;
    CMD_Desc: in std_logic;
    Porte_ouv: in std_logic;
    Val_ut: in std_logic_vector(3 downto 0);
    leda: out std_logic;
    ledb: out std_logic

    );
    end ascenceur;

    architecture aascenceur of ascenceur is
    type etat_type is(arret, mont_cab, desc_cab);
    signal etat: etat_type;
    signal out_i: std_logic_vector(3 downto 0);
    signal etage: std_logic_vector(3 downto 0);
    begin
    leda <= out_i(0);
    ledb <= out_i(1);

    with etat select
    out_i <=
    "0000" when arret,
    "0001" when mont_cab,
    "0010" when desc_cab,
    "0111" when others;
    process
    begin
    wait until rising_edge(clock);
    case etat is
    when arret => if CMD_Mont='1' and Porte_ouv='0' then
    etat <= mont_cab;
    elsif CMD_Desc='1' and Porte_ouv='0' then
    etat <= desc_cab;
    end if;

    when mont_cab => etage<=etage+1; if etage=Val_ut then etat <= arret; end if;
    when desc_cab => etage<=etage-1; if etage=Val_ut then etat <= arret; end if;
    when others => etat <= arret;

    end case;
    end aascenceur;
    end process;



    Voila l'architecture de mon code si ça peut t'aider merci

  12. #11
    indri

    Re : VHDL Et la fonction mémoire

    Et tu voudrais simuler ca..seulement pour simuler faudrait que Val_ut change naturellement comme ca ferait dans le système réel..
    J'ai bien ciblé le problème?
    Tu peux rajouter un ptit process qui va tronqué le système et modifié Val_ut au bon moment
    Mais faut déclarer Val_ut comme inout et encore c'est pas très élégant..Ou passez par un autre signal

    Ps: j'aime pas le "wait until" mais bon..enfin je connaissais pas du moins
    pps: utilise les balises [C0DE] la prochaine fois stp
    Là où va le vent...

Discussions similaires

  1. fonction signal rectangulaire en VHDL
    Par invite0374bd4b dans le forum Électronique
    Réponses: 21
    Dernier message: 23/08/2017, 17h29
  2. design de mémoire buffer VHDL
    Par invitea29052e7 dans le forum Électronique
    Réponses: 2
    Dernier message: 29/07/2009, 09h23
  3. Mémoire 4K x 4bits en VHDL
    Par invitec1433000 dans le forum Électronique
    Réponses: 0
    Dernier message: 07/05/2009, 11h33
  4. memoire cache vhdl
    Par invite31c0c3fb dans le forum Électronique
    Réponses: 1
    Dernier message: 04/04/2007, 20h56
  5. Vhdl-accès à la mémoire
    Par lignux dans le forum Électronique
    Réponses: 3
    Dernier message: 13/02/2007, 18h53
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...