[Programmation] Programme VHDL allumage LED's et buzzer
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Programme VHDL allumage LED's et buzzer



  1. #1
    invite5fec440f

    Programme VHDL allumage LED's et buzzer


    ------

    Bonjour,

    SVP j'ai un mini-projet urgent à rendre, j'ai besoin absolument de votre aide vu que je suis débutante en VHDL! Voilà mon projet porte sur la detection de niveau dans une colonne à air avec une carte FPGA de type ALTERA (la balle à chaque fois sera poussée par la turbine à un niveau bien précis, le niveau de la balle est détecté par un capteur ultrason), si la balle atteint un niveau de 20% une premier LED devrait s'allumer et reste allumée, si la balle atteint un niveau de 40% une deuxieme LED s'allume, si le niveau de la balle dépasse 80% de la colonne je voudrai qu'une LED commence à s'allumer et s’éteindre rapidement avec le déclenchement d'un buzzer. Je vous prie de bien vouloir m'aider à écrire le programme VHDL et je vous remercie d'avance !

    -----

  2. #2
    jiherve

    Re : Programme VHDL allumage LED's et buzzer

    Bonsoir et bienvenue,
    Donc commençons par : qu'as tu fait ?
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    chimere322

    Re : Programme VHDL allumage LED's et buzzer

    Bonjour,
    pas de chance pour toi,mais l'examen était pour hier.Donc foutu pour aujourd'hui.
    Bien cordialement Jean Claude.

  4. #4
    invite5fec440f

    Red face Re : Programme VHDL allumage LED's et buzzer

    non non je dois le rendre dans 4 jours !

  5. A voir en vidéo sur Futura

Discussions similaires

  1. programme VHDL
    Par invite2b1f3b55 dans le forum Électronique
    Réponses: 2
    Dernier message: 22/05/2014, 22h53
  2. programme VHDL
    Par invite3ee1529b dans le forum Électronique
    Réponses: 5
    Dernier message: 21/04/2010, 14h07
  3. Programme VHDL
    Par invitec95e1776 dans le forum Électronique
    Réponses: 2
    Dernier message: 13/03/2009, 12h46
  4. programme VHDL
    Par invite3049a46d dans le forum Électronique
    Réponses: 3
    Dernier message: 07/11/2008, 07h35
  5. simulation d'un programme VHDL
    Par invite3e0ef868 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/10/2007, 13h47
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...