vhdl-ams
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

vhdl-ams



  1. #1
    sebgimi

    vhdl-ams


    ------

    Bonjour a tous et bonne annee!

    J'essaye de modeliser un comparateur en vhdl-ams sur le logiciel ncvhdl mais je n'arrive pas a corriger les erreurs a la compilation...

    Voici mon code:



    Code:
    --library ieee;
    --use ieee.electrical_systems.all;
    library ieee, disciplines;
    use ieee.std_logic_1164.all;
    use disciplines.electrical_system.all;
    
    entity comparateur is
     	generic (level : real := 2.5; -- seuil
    		 vcc   : real := 5;   -- etat haut sortie
    		 gnd   : real := 0);  -- etat bas sortie
    
    	port(terminal e, ref: electrical;  -- entree analogique
                        signal   s: out std_logic); -- sortie numerique
    
    end comparateur;
    
    architecture archi of comparator is
    
    quantity v across e;       -- across quantity to ground
    
    begin
    
          s <= `1' when v'Above(level) -- v > level      
          else `0';                    -- v < level
    
    end architecture;
    Voici mes erreurs:

    ncvhdl -work st_lib -message ../sources/comparateur_ent.vhdl
    ncvhdl: 13.10-s013: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
    ../sources/comparateur_ent.vhdl:
    port(terminal e, ref: electrical; -- entree analogique
    |
    ncvhdl_p: *E,MISCOL (../sources/comparateur_ent.vhdl,14|15): expecting a colon (':') 87[4.3.3] 93[4.
    3.2].
    architecture simple of comparator is
    |
    ncvhdl_p: *E,ENNOFN (../sources/comparateur_ent.vhdl,19|32): Intermediate file for entity 'COMPARATO
    R' could not be loaded, entity may require re-analysis.
    architecture simple of comparator is
    |
    ncvhdl_p: *E,EXPBEG (../sources/comparateur_ent.vhdl,19|37): expecting the reserved word 'BEGIN' [1.
    2].
    quantity v across e; -- across quantity to ground
    |
    ncvhdl_p: *E,UNKCCS (../sources/comparateur_ent.vhdl,21|9): unknown concurrent statement [9].
    quantity v across e; -- across quantity to ground
    |
    ncvhdl_p: *E,UNKCCS (../sources/comparateur_ent.vhdl,21|11): unknown concurrent statement [9].
    quantity v across e; -- across quantity to ground
    |
    ncvhdl_p: *E,UNKCCS (../sources/comparateur_ent.vhdl,21|18): unknown concurrent statement [9].
    quantity v across e; -- across quantity to ground
    |
    ncvhdl_p: *E,EXPEND (../sources/comparateur_ent.vhdl,21|21): expecting the reserved word 'END' [1.2]
    .
    s <= `1' when v'Above(level) -- v > level
    |
    ncvhdl_p: *E,ILLPRI (../sources/comparateur_ent.vhdl,25|10): expecting an expression or operand [7.1
    ] [7.3].
    end architecture;
    |
    ncvhdl_p: *E,EXPACN (../sources/comparateur_ent.vhdl,28|16): expecting an architecture name [1.2].
    errors: 9, warnings: 0


    En fait quand je regarde sur internet il y a plein de librairies differentes pour cette extension du vhdl, peut etre que je n'utilise pas les bonnes, peut etre qu'il y a des librairies specifiques au logiciel que l'on utilise. Comme vous le voyez je suis perdu, toute aide serait la bienvenue. Merci d'avance!

    -----

  2. #2
    jiherve

    Re : vhdl-ams

    Bonsoir,
    Donc en fait sans sourciller on compare un truc de nature "electrical" inconnue au bataillon avec des real?
    Il faudrait au moins le package décrivant ce ou ces types.

    JR
    l'électronique c'est pas du vaudou!

  3. #3
    sebgimi

    Re : vhdl-ams

    Citation Envoyé par jiherve Voir le message
    on compare un truc de nature "electrical" inconnue au bataillon avec des real?
    Il faudrait au moins le package décrivant ce ou ces types.

    JR
    Le type electrical devrait normalement etre "compris" par le compilateur grace a la librairie electrical_system non ? Je suis d'accord pour les real, je les ai oublie! Je vais voir ce que ca donne avec ca.

    Ps: connaitriez vous un site ou je pourrai trouver tous les packages utile en vhdl et vhdl-ams?

Discussions similaires

  1. Vhdl
    Par invitefcc1b3ac dans le forum Électronique
    Réponses: 1
    Dernier message: 15/11/2010, 19h43
  2. Vhdl
    Par invite68aa31e7 dans le forum Électronique
    Réponses: 2
    Dernier message: 07/07/2009, 22h11
  3. Vhdl
    Par invite35890bd8 dans le forum Électronique
    Réponses: 2
    Dernier message: 09/12/2008, 21h59
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
Découvrez nos comparatifs produits sur l'informatique et les technologies.