Un peu de VHDL
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Un peu de VHDL



  1. #1
    Dony6

    Un peu de VHDL


    ------

    Bonjour à tous,

    J'aurais quelques questions que je ne saurais répondre si cela ne vous dérange pas .
    Voici mon code :

    Code:
    ENTITY circuit IS
    PORT ( A, B : IN stdjogic;
    E : IN std_logic_vector (1 downto 0);
    RESET : IN stdjogic;
    H : IN stdjogic;
    S : OUI stdjogic);
    END circuit;
    ARCHITECTURE Behavioral OF circuit IS
    SIGNAL K : stdjogic;
    SIGNAL CODE : stdjogic_vector (1 downto 0);
    BEGIN
    S <= NOT K;
    mon_process : PROCESS (H)
    BEGIN
    IF (H'event AND H=T) THEN
    IF RESET='0' THEN
    K<= '0';
    CODE <= "00"; o
    ELSE
    CODE <=E;
    CASE CODE IS
    WHEN "00" => K<=A;
    WHEN "01"H=> K<=B;
    WHEN "10V=> K<=NOT K;
    WHEN others => K<=K;
    END CASE;
    END IF;
    END IF;
    END PROCESS mon_process;
    END Behavioral;
    Ma première question est la suivante :
    Pour chacun des signaux S, K et CODE, indiquer en justifiant votre réponse s'il est la
    sortie d'un circuit combinatoire ou d'un circuit séquentiel.

    Ma deuxième question :
    Combien de bascules D seront nécessaires pour synthétiser ce circuit ? Justifiez votre
    réponse.

    Je tiens à préciser que ce sont pour des révisions et non pour un devoir à rendre. Ceci est juste à titre personnel et indicatif.

    Cordialement,
    Dony

    -----

  2. #2
    jiherve

    Re : Un peu de VHDL

    Bonsoir,
    qu'est ce qu'un process séquentiel, à quoi le reconnait on ?
    C'est plein de fautes de frappe!
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. vhdl-ams
    Par sebgimi dans le forum Électronique
    Réponses: 2
    Dernier message: 07/01/2014, 07h58
  2. Vhdl
    Par saidbelhaj dans le forum Programmation et langages, Algorithmique
    Réponses: 1
    Dernier message: 23/11/2013, 12h42
  3. Vhdl
    Par invitefcc1b3ac dans le forum Électronique
    Réponses: 1
    Dernier message: 15/11/2010, 19h43
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
Découvrez nos comparatifs produits sur l'informatique et les technologies.