Bonjour à tous,
je viens vers vus car j'ai un petit problème.
Je dois modéliser le circuit suivant sur VHDL :
Capture.PNG
Cependant voilà quand je compile et que je regarde dans le "RTL viewer" il n' y pas deux registres mais un seul.
Voilà le codeVoilà le RTL :Code:Library ieee; USE ieee.std_logic_1164.all; Entity exemple is port( A, B, C, D, clk, R : in std_logic; S: out std_logic ); end exemple; Architecture MyExemple of exemple is Signal Q0, Q1 : std_logic; Signal T : std_logic; begin T <= (A and B) or (C or D); process(Clk, R) begin if(R = '1') then Q0 <= '0'; Q1 <= '0'; elsif(rising_edge(clk)) then Q0 <= T; Q1 <= Q0; end if; end process; S <= Q0 nand Q1; end MyExemple;
Capture1.PNG
Merci à vous
-----