[Exercices] Circuit VHDL
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

Circuit VHDL



  1. #1
    Momo54500

    Circuit VHDL


    ------

    Bonjour à tous,

    je viens vers vus car j'ai un petit problème.
    Je dois modéliser le circuit suivant sur VHDL :

    Capture.PNG

    Cependant voilà quand je compile et que je regarde dans le "RTL viewer" il n' y pas deux registres mais un seul.

    Voilà le code
    Code:
    Library ieee;
    USE ieee.std_logic_1164.all;
    Entity exemple is 
    port(	A, B, C, D, clk, R : in std_logic;
    		S: out std_logic
    		);	
    end exemple;
    
    Architecture MyExemple of exemple is
    Signal Q0, Q1 : std_logic;
    Signal T : std_logic;
    
    begin 
    	T <= (A and B) or (C or D);
    	process(Clk, R)
    		begin
    			if(R = '1') then
    				Q0 <= '0';
    				Q1 <= '0';
    			elsif(rising_edge(clk)) then
    				Q0 <= T;
    				Q1 <= Q0;
    			end if;
    	end process; 
    			S <= Q0 nand Q1;
    		
    
    end MyExemple;
    Voilà le RTL :

    Capture1.PNG

    Merci à vous

    -----

  2. #2
    umfred

    Re : Circuit VHDL

    j'aurai peut-être inversé les lignes Q0 <=T; et Q1 <=Q0;

  3. #3
    Momo54500

    Re : Circuit VHDL

    Bonjour même en inversant j'ai toujours une seule bascule.

  4. #4
    jiherve

    Re : Circuit VHDL

    bonsoir
    l'ordre importe peu sur les signaux, pour les variables il importe.
    en fait il me semble qu'il y a deux bascules car dans le RTL on lit Q[1..0]
    quel est le logiciel?
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    Momo54500

    Re : Circuit VHDL

    Bonjour je l'ai lu aussi.
    Il s'agit de quartus.

  7. #6
    jiherve

    Re : Circuit VHDL

    bonsoir,
    j'ai beaucoup utilisé (débugué au passage) Quartus mais j'avoue que le RTL viewer n’était pas vraiment mon sujet vu la complexité de mes design.
    Le mieux est de faire une simulation (Modelsim) et d'analyser le résultat.
    ou de rajouter de la logique bidon entre les deux bascules par exemple Q1 <= Q0 and A pour voir ce que donne le viewer dans ce cas
    JR
    l'électronique c'est pas du vaudou!

  8. #7
    Momo54500

    Re : Circuit VHDL

    Oui je vais faire ça en effet, j'y ai pensé aussi à simuler.

Discussions similaires

  1. [Programmation] Problème en VHDL avec les multi fichiers vhdl
    Par drbug dans le forum Électronique
    Réponses: 2
    Dernier message: 02/02/2016, 11h32
  2. Conversion VHDL vers circuit logique
    Par invitefa0c54f0 dans le forum Électronique
    Réponses: 4
    Dernier message: 28/04/2012, 12h46
  3. VHDL: forcer la position de son circuit logique
    Par invite92ed1c2e dans le forum Électronique
    Réponses: 2
    Dernier message: 21/04/2011, 20h21
  4. implémenter sur fpga un circuit écrit en vhdl
    Par invite0374bd4b dans le forum Électronique
    Réponses: 2
    Dernier message: 08/05/2010, 08h55
  5. VHDL vers circuit logique
    Par invite91e50fe1 dans le forum Électronique
    Réponses: 15
    Dernier message: 16/09/2007, 15h09
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...